Rocksolid Light

Welcome to Rocksolid Light

mail  files  register  newsreader  groups  login

Message-ID:  

1 + 1 = 3, for large values of 1.


devel / comp.arch / The vector machines of ITH Zurich

SubjectAuthor
* The vector machines of ITH ZurichJimBrakefield
+* Re: The vector machines of ITH ZurichMitchAlsup
|+* Re: The vector machines of ITH ZurichJimBrakefield
||`* Re: The vector machines of ITH Zurichmac
|| `- Re: The vector machines of ITH ZurichIvan Godard
|`* Re: The vector machines of ITH ZurichQuadibloc
| +- Re: The vector machines of ITH ZurichQuadibloc
| +* Re: The vector machines of ITH Zurichluke.l...@gmail.com
| |`* Re: The vector machines of ITH ZurichQuadibloc
| | +- Re: The vector machines of ITH Zurichluke.l...@gmail.com
| | `- Re: The vector machines of ITH ZurichJimBrakefield
| `* Re: The vector machines of ITH ZurichMitchAlsup
|  +* Re: The vector machines of ITH ZurichQuadibloc
|  |`* Re: The vector machines of ITH Zurichluke.l...@gmail.com
|  | `* Re: The vector machines of ITH ZurichMitchAlsup
|  |  `- Re: The vector machines of ITH ZurichPaul A. Clayton
|  `* Re: The vector machines of ITH ZurichBrett
|   +* Re: The vector machines of ITH ZurichMitchAlsup
|   |+* Re: The vector machines of ITH ZurichTerje Mathisen
|   ||+- Re: The vector machines of ITH ZurichMichael S
|   ||`* Re: The vector machines of ITH ZurichAnton Ertl
|   || +* Re: The vector machines of ITH ZurichMichael S
|   || |`* Re: The vector machines of ITH ZurichAnton Ertl
|   || | +* Re: The vector machines of ITH ZurichScott Lurndal
|   || | |`* Re: The vector machines of ITH ZurichMichael S
|   || | | `* Re: The vector machines of ITH ZurichMitchAlsup
|   || | |  `- Re: The vector machines of ITH ZurichMichael S
|   || | `- Re: The vector machines of ITH ZurichMichael S
|   || `- Re: The vector machines of ITH ZurichTerje Mathisen
|   |+* Re: The vector machines of ITH ZurichStephen Fuld
|   ||`* Re: The vector machines of ITH ZurichAnton Ertl
|   || +- Re: The vector machines of ITH ZurichQuadibloc
|   || `- Re: The vector machines of ITH ZurichStephen Fuld
|   |`- Re: The vector machines of ITH ZurichJohn Dallman
|   `* Re: The vector machines of ITH ZurichThomas Koenig
|    `- Re: The vector machines of ITH ZurichMitchAlsup
`- Re: The vector machines of ITH Zurichluke.l...@gmail.com

Pages:12
The vector machines of ITH Zurich

<386698fb-72ba-435e-b99b-e251e29bb773n@googlegroups.com>

  copy mid

https://news.novabbs.org/devel/article-flat.php?id=32545&group=comp.arch#32545

  copy link   Newsgroups: comp.arch
X-Received: by 2002:a05:622a:306:b0:3f7:736c:9019 with SMTP id q6-20020a05622a030600b003f7736c9019mr3208196qtw.5.1685659553939; Thu, 01 Jun 2023 15:45:53 -0700 (PDT)
X-Received: by 2002:aca:ea45:0:b0:396:419:4110 with SMTP id i66-20020acaea45000000b0039604194110mr179058oih.1.1685659553441; Thu, 01 Jun 2023 15:45:53 -0700 (PDT)
Path: i2pn2.org!i2pn.org!usenet.blueworldhosting.com!diablo1.usenet.blueworldhosting.com!feeder.usenetexpress.com!tr3.iad1.usenetexpress.com!69.80.99.15.MISMATCH!border-1.nntp.ord.giganews.com!nntp.giganews.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Thu, 1 Jun 2023 15:45:53 -0700 (PDT)
Injection-Info: google-groups.googlegroups.com; posting-host=136.50.14.162; posting-account=AoizIQoAAADa7kQDpB0DAj2jwddxXUgl
NNTP-Posting-Host: 136.50.14.162
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <386698fb-72ba-435e-b99b-e251e29bb773n@googlegroups.com>
Subject: The vector machines of ITH Zurich
From: jim.brakefield@ieee.org (JimBrakefield)
Injection-Date: Thu, 01 Jun 2023 22:45:53 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
Lines: 28
 by: JimBrakefield - Thu, 1 Jun 2023 22:45 UTC

By chance stumbled onto the work at ITH Zurich group targeting low power computation, that is designing and building, as in ASIC chips, research processors using variants of RISC-V.
One of their designs, Snitch, uses a simple integer processor core with a floating-point co-processor. Their primary figure of merit is energy per floating-point computation. To wit, they eschew GBOoO and register renaming but do use a scoreboard.
Some registers have vector semantics, e.g. act as DMA ports into memory and have buffers thereby lowering the needs for data caches!
The second effect is replacing the in-loop loads and stores with outside-of-loop resister setup/configuration. Search on "Stream Semantic Register Architecture".
The third effect is increasing the number of instructions per clock, as memory load/stores are implied.
Further, they are considering instructions which specify the use of additional registers in the floating point register file for unrolling loops. The loop instructions are held in the floating-point unit in a short buffer?

From the "Snitch" web page: "custom ISA extensions Xssr, Xfrep, and Xdma".. https://pulp-platform.github.io/snitch/rm/custom_instructions/

Expect there will be further presentations at next week's RISC-V Summit?
Also see https://www.openhwgroup.org/

So, it appears that a research project is investigating simultaneous low power computation, low overhead vector processing, and high function unit utilization. E.g. an alternative to other vector architectures having very high performance goals via many small cores.

Re: The vector machines of ITH Zurich

<0b405880-1e5f-44eb-a2cb-a0f8099c255bn@googlegroups.com>

  copy mid

https://news.novabbs.org/devel/article-flat.php?id=32546&group=comp.arch#32546

  copy link   Newsgroups: comp.arch
X-Received: by 2002:a05:620a:470a:b0:75b:360b:fcfe with SMTP id bs10-20020a05620a470a00b0075b360bfcfemr3203883qkb.6.1685660230183;
Thu, 01 Jun 2023 15:57:10 -0700 (PDT)
X-Received: by 2002:a9d:6f09:0:b0:6af:7d6f:fe03 with SMTP id
n9-20020a9d6f09000000b006af7d6ffe03mr291206otq.2.1685660229923; Thu, 01 Jun
2023 15:57:09 -0700 (PDT)
Path: i2pn2.org!i2pn.org!usenet.blueworldhosting.com!diablo1.usenet.blueworldhosting.com!peer03.iad!feed-me.highwinds-media.com!news.highwinds-media.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Thu, 1 Jun 2023 15:57:09 -0700 (PDT)
In-Reply-To: <386698fb-72ba-435e-b99b-e251e29bb773n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=2600:1700:291:29f0:706f:8c5c:2a38:74c0;
posting-account=H_G_JQkAAADS6onOMb-dqvUozKse7mcM
NNTP-Posting-Host: 2600:1700:291:29f0:706f:8c5c:2a38:74c0
References: <386698fb-72ba-435e-b99b-e251e29bb773n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <0b405880-1e5f-44eb-a2cb-a0f8099c255bn@googlegroups.com>
Subject: Re: The vector machines of ITH Zurich
From: MitchAlsup@aol.com (MitchAlsup)
Injection-Date: Thu, 01 Jun 2023 22:57:10 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
X-Received-Bytes: 3042
 by: MitchAlsup - Thu, 1 Jun 2023 22:57 UTC

On Thursday, June 1, 2023 at 5:45:55 PM UTC-5, JimBrakefield wrote:
> By chance stumbled onto the work at ITH Zurich group targeting low power computation, that is designing and building, as in ASIC chips, research processors using variants of RISC-V.
> One of their designs, Snitch, uses a simple integer processor core with a floating-point co-processor. Their primary figure of merit is energy per floating-point computation. To wit, they eschew GBOoO and register renaming but do use a scoreboard.
> Some registers have vector semantics, e.g. act as DMA ports into memory and have buffers thereby lowering the needs for data caches!
> The second effect is replacing the in-loop loads and stores with outside-of-loop resister setup/configuration. Search on "Stream Semantic Register Architecture".
> The third effect is increasing the number of instructions per clock, as memory load/stores are implied.
> Further, they are considering instructions which specify the use of additional registers in the floating point register file for unrolling loops. The loop instructions are held in the floating-point unit in a short buffer?
>
> From the "Snitch" web page: "custom ISA extensions Xssr, Xfrep, and Xdma".. https://pulp-platform.github.io/snitch/rm/custom_instructions/
<
xfrep is basically equivalent to my LOOP instruction.
>
> Expect there will be further presentations at next week's RISC-V Summit?
> Also see https://www.openhwgroup.org/
>
> So, it appears that a research project is investigating simultaneous low power computation, low overhead vector processing, and high function unit utilization. E.g. an alternative to other vector architectures having very high performance goals via many small cores.

Re: The vector machines of ITH Zurich

<c802403d-f758-418f-a191-8a68df9036fbn@googlegroups.com>

  copy mid

https://news.novabbs.org/devel/article-flat.php?id=32547&group=comp.arch#32547

  copy link   Newsgroups: comp.arch
X-Received: by 2002:a05:622a:15d1:b0:3f7:f730:6b53 with SMTP id d17-20020a05622a15d100b003f7f7306b53mr2974944qty.11.1685661298932;
Thu, 01 Jun 2023 16:14:58 -0700 (PDT)
X-Received: by 2002:a05:6830:4786:b0:69f:ac19:a41f with SMTP id
df6-20020a056830478600b0069fac19a41fmr306991otb.5.1685661298536; Thu, 01 Jun
2023 16:14:58 -0700 (PDT)
Path: i2pn2.org!i2pn.org!usenet.blueworldhosting.com!diablo1.usenet.blueworldhosting.com!peer03.iad!feed-me.highwinds-media.com!news.highwinds-media.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Thu, 1 Jun 2023 16:14:58 -0700 (PDT)
In-Reply-To: <0b405880-1e5f-44eb-a2cb-a0f8099c255bn@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=136.50.14.162; posting-account=AoizIQoAAADa7kQDpB0DAj2jwddxXUgl
NNTP-Posting-Host: 136.50.14.162
References: <386698fb-72ba-435e-b99b-e251e29bb773n@googlegroups.com> <0b405880-1e5f-44eb-a2cb-a0f8099c255bn@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <c802403d-f758-418f-a191-8a68df9036fbn@googlegroups.com>
Subject: Re: The vector machines of ITH Zurich
From: jim.brakefield@ieee.org (JimBrakefield)
Injection-Date: Thu, 01 Jun 2023 23:14:58 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
X-Received-Bytes: 3475
 by: JimBrakefield - Thu, 1 Jun 2023 23:14 UTC

On Thursday, June 1, 2023 at 5:57:11 PM UTC-5, MitchAlsup wrote:
> On Thursday, June 1, 2023 at 5:45:55 PM UTC-5, JimBrakefield wrote:
> > By chance stumbled onto the work at ITH Zurich group targeting low power computation, that is designing and building, as in ASIC chips, research processors using variants of RISC-V.
> > One of their designs, Snitch, uses a simple integer processor core with a floating-point co-processor. Their primary figure of merit is energy per floating-point computation. To wit, they eschew GBOoO and register renaming but do use a scoreboard.
> > Some registers have vector semantics, e.g. act as DMA ports into memory and have buffers thereby lowering the needs for data caches!
> > The second effect is replacing the in-loop loads and stores with outside-of-loop resister setup/configuration. Search on "Stream Semantic Register Architecture".
> > The third effect is increasing the number of instructions per clock, as memory load/stores are implied.
> > Further, they are considering instructions which specify the use of additional registers in the floating point register file for unrolling loops. The loop instructions are held in the floating-point unit in a short buffer?
> >
> > From the "Snitch" web page: "custom ISA extensions Xssr, Xfrep, and Xdma". https://pulp-platform.github.io/snitch/rm/custom_instructions/
> <
> xfrep is basically equivalent to my LOOP instruction.

Yup, and there is the CDC6600 with implied loads and stores. Some of the TI chips had 2D DMA engines.
The ITH Zurich group is also looking into sparse vector acceleration:
Indirection Stream Semantic Register Architecture for Efficient Sparse-Dense Linear Algebra, Paul Scheffler 2020 etal.

> >
> > Expect there will be further presentations at next week's RISC-V Summit?
> > Also see https://www.openhwgroup.org/
> >
> > So, it appears that a research project is investigating simultaneous low power computation, low overhead vector processing, and high function unit utilization. E.g. an alternative to other vector architectures having very high performance goals via many small cores.

Re: The vector machines of ITH Zurich

<7ed26efe-3be6-4cf0-a097-16d98a8f37dcn@googlegroups.com>

  copy mid

https://news.novabbs.org/devel/article-flat.php?id=32552&group=comp.arch#32552

  copy link   Newsgroups: comp.arch
X-Received: by 2002:a05:620a:29d2:b0:75b:24db:cdfa with SMTP id s18-20020a05620a29d200b0075b24dbcdfamr3549598qkp.15.1685689102806;
Thu, 01 Jun 2023 23:58:22 -0700 (PDT)
X-Received: by 2002:a4a:2c92:0:b0:54f:9f36:f14b with SMTP id
o140-20020a4a2c92000000b0054f9f36f14bmr3469239ooo.0.1685689102448; Thu, 01
Jun 2023 23:58:22 -0700 (PDT)
Path: i2pn2.org!i2pn.org!news.1d4.us!usenet.blueworldhosting.com!diablo1.usenet.blueworldhosting.com!peer03.iad!feed-me.highwinds-media.com!news.highwinds-media.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Thu, 1 Jun 2023 23:58:22 -0700 (PDT)
In-Reply-To: <0b405880-1e5f-44eb-a2cb-a0f8099c255bn@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=2001:56a:fa34:c000:82a1:e0d2:8cd2:a3ac;
posting-account=1nOeKQkAAABD2jxp4Pzmx9Hx5g9miO8y
NNTP-Posting-Host: 2001:56a:fa34:c000:82a1:e0d2:8cd2:a3ac
References: <386698fb-72ba-435e-b99b-e251e29bb773n@googlegroups.com> <0b405880-1e5f-44eb-a2cb-a0f8099c255bn@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <7ed26efe-3be6-4cf0-a097-16d98a8f37dcn@googlegroups.com>
Subject: Re: The vector machines of ITH Zurich
From: jsavard@ecn.ab.ca (Quadibloc)
Injection-Date: Fri, 02 Jun 2023 06:58:22 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
X-Received-Bytes: 2698
 by: Quadibloc - Fri, 2 Jun 2023 06:58 UTC

On Thursday, June 1, 2023 at 4:57:11 PM UTC-6, MitchAlsup wrote:
> On Thursday, June 1, 2023 at 5:45:55 PM UTC-5, JimBrakefield wrote:

> > From the "Snitch" web page: "custom ISA extensions Xssr, Xfrep, and Xdma". https://pulp-platform.github.io/snitch/rm/custom_instructions/
> <
> xfrep is basically equivalent to my LOOP instruction.

And xssr handles vectors by turning some registers into portals to vectors in memory.

So, while a Cray worked on vectors in big vector registers, this design works on
vectors in memory... like a STAR-100. Which, as we all remember, was a _failure_
in competition with the Cray I.

This is why I tend to think that the NEC SX-Aurora TSUBASA is doing it the "right" way,
but faces the problem of being stuck at 14nm because there's just not enough of a
supercomputer market to support custom development of this kind of chip.

And so this is why my thinking goes in the direction of: NEC should design a smaller
version of their processor, not as powerful, but get economies of mass manufacture
by convincing, say, SONY to put it in their next PlayStation! That way, computers in
general would advance to being much more powerful.

Using a scoreboard instead of GBOoO, of course, is an approach you're likely very
sympathetic to, and so if this works well, you would definitely be vindicated.

John Savard

Re: The vector machines of ITH Zurich

<4126afea-897b-4c93-b937-4eb1866c7fa2n@googlegroups.com>

  copy mid

https://news.novabbs.org/devel/article-flat.php?id=32556&group=comp.arch#32556

  copy link   Newsgroups: comp.arch
X-Received: by 2002:a05:620a:17ac:b0:759:1240:5848 with SMTP id ay44-20020a05620a17ac00b0075912405848mr2629810qkb.15.1685705429059;
Fri, 02 Jun 2023 04:30:29 -0700 (PDT)
X-Received: by 2002:aca:f2d6:0:b0:396:255c:f3e0 with SMTP id
q205-20020acaf2d6000000b00396255cf3e0mr581506oih.10.1685705428759; Fri, 02
Jun 2023 04:30:28 -0700 (PDT)
Path: i2pn2.org!i2pn.org!usenet.blueworldhosting.com!diablo1.usenet.blueworldhosting.com!peer02.iad!feed-me.highwinds-media.com!news.highwinds-media.com!border-1.nntp.ord.giganews.com!nntp.giganews.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Fri, 2 Jun 2023 04:30:28 -0700 (PDT)
In-Reply-To: <386698fb-72ba-435e-b99b-e251e29bb773n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=92.19.80.230; posting-account=soFpvwoAAADIBXOYOBcm_mixNPAaxW9p
NNTP-Posting-Host: 92.19.80.230
References: <386698fb-72ba-435e-b99b-e251e29bb773n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <4126afea-897b-4c93-b937-4eb1866c7fa2n@googlegroups.com>
Subject: Re: The vector machines of ITH Zurich
From: luke.leighton@gmail.com (luke.l...@gmail.com)
Injection-Date: Fri, 02 Jun 2023 11:30:29 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
Lines: 59
X-Received-Bytes: 3748
 by: luke.l...@gmail.com - Fri, 2 Jun 2023 11:30 UTC

On Thursday, June 1, 2023 at 11:45:55 PM UTC+1, JimBrakefield wrote:
> By chance stumbled onto the work at ITH Zurich group targeting low power computation, that is designing and building, as in ASIC chips, research processors using variants of RISC-V.
> One of their designs, Snitch, uses a simple integer processor core with a floating-point co-processor. Their primary figure of merit is energy per floating-point computation. To wit, they eschew GBOoO and register renaming but do use a scoreboard.

and time-slicing, aka "A Barrel Processor"

https://arxiv.org/pdf/2002.10143.pdf

> Some registers have vector semantics, e.g. act as DMA ports into memory and have buffers thereby lowering the needs for data caches!

not just caches but entirely *bypassing* caches because there's no point having them. follow the white rabbit...

* memory is ultimately 150 mhz DRAM cells
* therefore there is latency if running the CPU at say 1 ghz
* therefore you need to cater for the delay between "mem request" and "received"
* therefore you need to slow down the CPU
* but this is a waste therefore
* instead you do round-robin processing *aka a Barrel Processor*

> The second effect is replacing the in-loop loads and stores with outside-of-loop resister setup/configuration. Search on "Stream Semantic Register Architecture".

which

> The third effect is increasing the number of instructions per clock, as memory load/stores are implied.
> Further, they are considering instructions which specify the use of additional registers in the floating point register file for unrolling loops. The loop instructions are held in the floating-point unit in a short buffer?

this is where Zero-Overhead-Loop-Constructs come into play.

https://www.semanticscholar.org/paper/A-portable-specification-of-zero-overhead-looping-Kavvadias-Nikolaidis/dbaa66985cc730d4b44d79f519e96ec9c43ab5b7?p2df

> So, it appears that a research project is investigating simultaneous low power computation, low overhead vector processing, and high function unit utilization. E.g. an alternative to other vector architectures having very high performance goals via many small cores.

what they are extremely unlikely to have is compiler support alongside retaining a sequential programming model. if however they have managed that then they have hit the "holy grail" of solving Moore's Law.

l.

Re: The vector machines of ITH Zurich

<55aab4cf-546a-4215-bb33-22d51e2f5756n@googlegroups.com>

  copy mid

https://news.novabbs.org/devel/article-flat.php?id=32557&group=comp.arch#32557

  copy link   Newsgroups: comp.arch
X-Received: by 2002:a05:6214:a05:b0:625:aa1a:6b8a with SMTP id dw5-20020a0562140a0500b00625aa1a6b8amr1863950qvb.1.1685706013537;
Fri, 02 Jun 2023 04:40:13 -0700 (PDT)
X-Received: by 2002:a4a:4f4f:0:b0:54c:c8f5:49d9 with SMTP id
c76-20020a4a4f4f000000b0054cc8f549d9mr3445604oob.0.1685706013257; Fri, 02 Jun
2023 04:40:13 -0700 (PDT)
Path: i2pn2.org!i2pn.org!usenet.blueworldhosting.com!diablo1.usenet.blueworldhosting.com!peer01.iad!feed-me.highwinds-media.com!news.highwinds-media.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Fri, 2 Jun 2023 04:40:13 -0700 (PDT)
In-Reply-To: <7ed26efe-3be6-4cf0-a097-16d98a8f37dcn@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=2001:56a:fa34:c000:82a1:e0d2:8cd2:a3ac;
posting-account=1nOeKQkAAABD2jxp4Pzmx9Hx5g9miO8y
NNTP-Posting-Host: 2001:56a:fa34:c000:82a1:e0d2:8cd2:a3ac
References: <386698fb-72ba-435e-b99b-e251e29bb773n@googlegroups.com>
<0b405880-1e5f-44eb-a2cb-a0f8099c255bn@googlegroups.com> <7ed26efe-3be6-4cf0-a097-16d98a8f37dcn@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <55aab4cf-546a-4215-bb33-22d51e2f5756n@googlegroups.com>
Subject: Re: The vector machines of ITH Zurich
From: jsavard@ecn.ab.ca (Quadibloc)
Injection-Date: Fri, 02 Jun 2023 11:40:13 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
X-Received-Bytes: 2852
 by: Quadibloc - Fri, 2 Jun 2023 11:40 UTC

On Friday, June 2, 2023 at 12:58:24 AM UTC-6, Quadibloc wrote:

> So, while a Cray worked on vectors in big vector registers, this design works on
> vectors in memory... like a STAR-100. Which, as we all remember, was a _failure_
> in competition with the Cray I.

Meditating on this - and on ways around this problem - has led me to think of
something which may have been what you were assuming all along.

A computer could get the same performance from instructions involving memory
as from instructions involving registers... *if* it could assume that the memory
was only accessed from the current thread. Then it could always use copies of data
that were in cache, or even in flight between registers, without having to refer back to
the actual copy in memory.

It used to be that computers had special instructions for I/O... now, I/O is normally
memory-mapped. Perhaps it would be time to go back, in a way: have normal
memory-reference instructions indicate that the memory accessed is thread-local,
and have special memory-reference instructions for memory that is not thread-local,
but which can be changed by other things. Those would be used for I/O, for communication
between processes, and so on.

Then, presumably, since the processor would know that certain memory it used was
thread-local, it could put the data in registers itself, without the compiler having to do so
explicitly, and gain increased performance.

John Savard

Re: The vector machines of ITH Zurich

<08ba139f-48e4-400b-9151-21f6dbf514d9n@googlegroups.com>

  copy mid

https://news.novabbs.org/devel/article-flat.php?id=32558&group=comp.arch#32558

  copy link   Newsgroups: comp.arch
X-Received: by 2002:a05:622a:13cd:b0:3f4:eab9:4a4d with SMTP id p13-20020a05622a13cd00b003f4eab94a4dmr3650861qtk.13.1685706277713;
Fri, 02 Jun 2023 04:44:37 -0700 (PDT)
X-Received: by 2002:a05:6870:3e4:b0:1a2:21c7:540a with SMTP id
h36-20020a05687003e400b001a221c7540amr683125oaf.2.1685706277329; Fri, 02 Jun
2023 04:44:37 -0700 (PDT)
Path: i2pn2.org!i2pn.org!weretis.net!feeder6.news.weretis.net!usenet.blueworldhosting.com!diablo1.usenet.blueworldhosting.com!peer01.iad!feed-me.highwinds-media.com!news.highwinds-media.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Fri, 2 Jun 2023 04:44:37 -0700 (PDT)
In-Reply-To: <7ed26efe-3be6-4cf0-a097-16d98a8f37dcn@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=92.19.80.230; posting-account=soFpvwoAAADIBXOYOBcm_mixNPAaxW9p
NNTP-Posting-Host: 92.19.80.230
References: <386698fb-72ba-435e-b99b-e251e29bb773n@googlegroups.com>
<0b405880-1e5f-44eb-a2cb-a0f8099c255bn@googlegroups.com> <7ed26efe-3be6-4cf0-a097-16d98a8f37dcn@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <08ba139f-48e4-400b-9151-21f6dbf514d9n@googlegroups.com>
Subject: Re: The vector machines of ITH Zurich
From: luke.leighton@gmail.com (luke.l...@gmail.com)
Injection-Date: Fri, 02 Jun 2023 11:44:37 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
X-Received-Bytes: 3115
 by: luke.l...@gmail.com - Fri, 2 Jun 2023 11:44 UTC

On Friday, June 2, 2023 at 7:58:24 AM UTC+1, Quadibloc wrote:
> On Thursday, June 1, 2023 at 4:57:11 PM UTC-6, MitchAlsup wrote:
> > On Thursday, June 1, 2023 at 5:45:55 PM UTC-5, JimBrakefield wrote:
>
> > > From the "Snitch" web page: "custom ISA extensions Xssr, Xfrep, and Xdma". https://pulp-platform.github.io/snitch/rm/custom_instructions/
> > <
> > xfrep is basically equivalent to my LOOP instruction.
> And xssr handles vectors by turning some registers into portals to vectors in memory.
>
> So, while a Cray worked on vectors in big vector registers, this design works on
> vectors in memory... like a STAR-100. Which, as we all remember, was a _failure_
> in competition with the Cray I.

you have to remember that all those systems had memory
running at the same speed (or faster) than the CPU. and
that ETH-Zurich's Pulpino work is all about Ultra-low-Power,
so they *can* say "we run CPU speed @ mem speed"
(the barrel-processor design of Snitch - they call it "Timeslicing"
or something - is the exception)

also there was the problem of not being able to use
"Vector Chaining" in a MEMORY-COMPUTE-MEMORY
ISA, which Cray solved (avoided) because it was
MEM-REG-{COMPUTE-REG)*-MEM

ETA-10 took a different approach by designing arithmetic
instructions as sourcing from IN-FLIGHT data. so it was:

* MEM->inflight_A
* MEM->inflight_B
* {A/B->COMPUTE->inflight_a_or_b}*
* inflight_a/b->MEM

and now we have both ARM, Eth-Zurich *and* the European
Processor Group all scrambling to roll back 50+ years in
the history of computing... reinventing the wheel.

c'est la vie :)

l.

p.s. does anyone recall where the EPI academic paper
on streaming extensions is? if i find it i'll post it.

Re: The vector machines of ITH Zurich

<02c4ee06-c674-401b-8123-ba597a31fb67n@googlegroups.com>

  copy mid

https://news.novabbs.org/devel/article-flat.php?id=32559&group=comp.arch#32559

  copy link   Newsgroups: comp.arch
X-Received: by 2002:a05:620a:1995:b0:75b:2a2e:62c9 with SMTP id bm21-20020a05620a199500b0075b2a2e62c9mr3632093qkb.2.1685706779667;
Fri, 02 Jun 2023 04:52:59 -0700 (PDT)
X-Received: by 2002:a05:6870:7710:b0:19f:45a1:b5a4 with SMTP id
dw16-20020a056870771000b0019f45a1b5a4mr712413oab.0.1685706779377; Fri, 02 Jun
2023 04:52:59 -0700 (PDT)
Path: i2pn2.org!i2pn.org!newsfeed.endofthelinebbs.com!usenet.blueworldhosting.com!diablo1.usenet.blueworldhosting.com!peer01.iad!feed-me.highwinds-media.com!news.highwinds-media.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Fri, 2 Jun 2023 04:52:59 -0700 (PDT)
In-Reply-To: <08ba139f-48e4-400b-9151-21f6dbf514d9n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=2001:56a:fa34:c000:82a1:e0d2:8cd2:a3ac;
posting-account=1nOeKQkAAABD2jxp4Pzmx9Hx5g9miO8y
NNTP-Posting-Host: 2001:56a:fa34:c000:82a1:e0d2:8cd2:a3ac
References: <386698fb-72ba-435e-b99b-e251e29bb773n@googlegroups.com>
<0b405880-1e5f-44eb-a2cb-a0f8099c255bn@googlegroups.com> <7ed26efe-3be6-4cf0-a097-16d98a8f37dcn@googlegroups.com>
<08ba139f-48e4-400b-9151-21f6dbf514d9n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <02c4ee06-c674-401b-8123-ba597a31fb67n@googlegroups.com>
Subject: Re: The vector machines of ITH Zurich
From: jsavard@ecn.ab.ca (Quadibloc)
Injection-Date: Fri, 02 Jun 2023 11:52:59 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
X-Received-Bytes: 1832
 by: Quadibloc - Fri, 2 Jun 2023 11:52 UTC

On Friday, June 2, 2023 at 5:44:39 AM UTC-6, luke.l...@gmail.com wrote:

> p.s. does anyone recall where the EPI academic paper
> on streaming extensions is? if i find it i'll post it.

While the direct link in the text to the paper is broken, the link to
"Publications" in the menu on the left side works. That shows the
paper is behind a paywall.

https://pulp-platform.github.io/snitch/publications/

John Savard

Re: The vector machines of ITH Zurich

<3da0a454-2f91-40f6-a8f7-0e121a9b16d1n@googlegroups.com>

  copy mid

https://news.novabbs.org/devel/article-flat.php?id=32560&group=comp.arch#32560

  copy link   Newsgroups: comp.arch
X-Received: by 2002:a05:622a:1801:b0:3f6:a22f:a99c with SMTP id t1-20020a05622a180100b003f6a22fa99cmr3731651qtc.6.1685707505858;
Fri, 02 Jun 2023 05:05:05 -0700 (PDT)
X-Received: by 2002:a05:6830:460f:b0:6af:a5af:c5be with SMTP id
ba15-20020a056830460f00b006afa5afc5bemr601727otb.2.1685707505261; Fri, 02 Jun
2023 05:05:05 -0700 (PDT)
Path: i2pn2.org!i2pn.org!usenet.blueworldhosting.com!diablo1.usenet.blueworldhosting.com!peer01.iad!feed-me.highwinds-media.com!news.highwinds-media.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Fri, 2 Jun 2023 05:05:04 -0700 (PDT)
In-Reply-To: <02c4ee06-c674-401b-8123-ba597a31fb67n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=92.19.80.230; posting-account=soFpvwoAAADIBXOYOBcm_mixNPAaxW9p
NNTP-Posting-Host: 92.19.80.230
References: <386698fb-72ba-435e-b99b-e251e29bb773n@googlegroups.com>
<0b405880-1e5f-44eb-a2cb-a0f8099c255bn@googlegroups.com> <7ed26efe-3be6-4cf0-a097-16d98a8f37dcn@googlegroups.com>
<08ba139f-48e4-400b-9151-21f6dbf514d9n@googlegroups.com> <02c4ee06-c674-401b-8123-ba597a31fb67n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <3da0a454-2f91-40f6-a8f7-0e121a9b16d1n@googlegroups.com>
Subject: Re: The vector machines of ITH Zurich
From: luke.leighton@gmail.com (luke.l...@gmail.com)
Injection-Date: Fri, 02 Jun 2023 12:05:05 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
X-Received-Bytes: 2586
 by: luke.l...@gmail.com - Fri, 2 Jun 2023 12:05 UTC

On Friday, June 2, 2023 at 12:53:01 PM UTC+1, Quadibloc wrote:
> On Friday, June 2, 2023 at 5:44:39 AM UTC-6, luke.l...@gmail.com wrote:
>
> > p.s. does anyone recall where the EPI academic paper
> > on streaming extensions is? if i find it i'll post it.
> While the direct link in the text to the paper is broken, the link to
> "Publications" in the menu on the left side works. That shows the
> paper is behind a paywall.

(copies make their way out onto researchgate and arxiv)

sorry i meant EPI not Eth-Zurich,
https://www.european-processor-initiative.eu/

EPI is about SiPearl
https://www.eenewseurope.com/en/sipearl-raises-e90m-for-rhea-european-supercomputer-chip/

but i have seen a paper two weeks ago that is *also* about
"Streaming" from the *EPI* group

and ARM's SVE Matrix Extension *also* mentions "Streaming"
i just can't remember where i saw it. the RTL of the Matrix
Extension?

bottom line everyone and their dog is going hell-for-leather
backwards 50+ years in time.

the fundamental principle is "register tagging". oh look
we just had a discussion about register tagging :)

l.

Re: The vector machines of ITH Zurich

<a86923eb-eb00-4995-a10b-dacef25d5d5dn@googlegroups.com>

  copy mid

https://news.novabbs.org/devel/article-flat.php?id=32579&group=comp.arch#32579

  copy link   Newsgroups: comp.arch
X-Received: by 2002:a05:622a:13cd:b0:3f4:eab9:4a4d with SMTP id p13-20020a05622a13cd00b003f4eab94a4dmr4126353qtk.13.1685740302365;
Fri, 02 Jun 2023 14:11:42 -0700 (PDT)
X-Received: by 2002:a05:6830:2787:b0:6b1:29d0:355d with SMTP id
x7-20020a056830278700b006b129d0355dmr1047131otu.0.1685740301928; Fri, 02 Jun
2023 14:11:41 -0700 (PDT)
Path: i2pn2.org!i2pn.org!usenet.blueworldhosting.com!diablo1.usenet.blueworldhosting.com!peer03.iad!feed-me.highwinds-media.com!news.highwinds-media.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Fri, 2 Jun 2023 14:11:41 -0700 (PDT)
In-Reply-To: <02c4ee06-c674-401b-8123-ba597a31fb67n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=136.50.14.162; posting-account=AoizIQoAAADa7kQDpB0DAj2jwddxXUgl
NNTP-Posting-Host: 136.50.14.162
References: <386698fb-72ba-435e-b99b-e251e29bb773n@googlegroups.com>
<0b405880-1e5f-44eb-a2cb-a0f8099c255bn@googlegroups.com> <7ed26efe-3be6-4cf0-a097-16d98a8f37dcn@googlegroups.com>
<08ba139f-48e4-400b-9151-21f6dbf514d9n@googlegroups.com> <02c4ee06-c674-401b-8123-ba597a31fb67n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <a86923eb-eb00-4995-a10b-dacef25d5d5dn@googlegroups.com>
Subject: Re: The vector machines of ITH Zurich
From: jim.brakefield@ieee.org (JimBrakefield)
Injection-Date: Fri, 02 Jun 2023 21:11:42 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
X-Received-Bytes: 2046
 by: JimBrakefield - Fri, 2 Jun 2023 21:11 UTC

On Friday, June 2, 2023 at 6:53:01 AM UTC-5, Quadibloc wrote:
> On Friday, June 2, 2023 at 5:44:39 AM UTC-6, luke.l...@gmail.com wrote:
>
> > p.s. does anyone recall where the EPI academic paper
> > on streaming extensions is? if i find it i'll post it.
> While the direct link in the text to the paper is broken, the link to
> "Publications" in the menu on the left side works. That shows the
> paper is behind a paywall.
>
> https://pulp-platform.github.io/snitch/publications/
>
> John Savard

Try https://arxiv.org/abs/2011.08070
possibly an earlier version (2020 versus 2021)

Re: The vector machines of ITH Zurich

<5563d673-57fb-441c-a7f1-81878a0bfee6n@googlegroups.com>

  copy mid

https://news.novabbs.org/devel/article-flat.php?id=32584&group=comp.arch#32584

  copy link   Newsgroups: comp.arch
X-Received: by 2002:a05:6214:1772:b0:626:8ab:2a40 with SMTP id et18-20020a056214177200b0062608ab2a40mr4242qvb.2.1685748341235;
Fri, 02 Jun 2023 16:25:41 -0700 (PDT)
X-Received: by 2002:a05:6870:5ab3:b0:19f:ae88:46b9 with SMTP id
dt51-20020a0568705ab300b0019fae8846b9mr1217801oab.5.1685748340905; Fri, 02
Jun 2023 16:25:40 -0700 (PDT)
Path: i2pn2.org!i2pn.org!usenet.blueworldhosting.com!diablo1.usenet.blueworldhosting.com!peer03.iad!feed-me.highwinds-media.com!news.highwinds-media.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Fri, 2 Jun 2023 16:25:40 -0700 (PDT)
In-Reply-To: <7ed26efe-3be6-4cf0-a097-16d98a8f37dcn@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=2600:1700:291:29f0:34c8:5ba:2b21:3a01;
posting-account=H_G_JQkAAADS6onOMb-dqvUozKse7mcM
NNTP-Posting-Host: 2600:1700:291:29f0:34c8:5ba:2b21:3a01
References: <386698fb-72ba-435e-b99b-e251e29bb773n@googlegroups.com>
<0b405880-1e5f-44eb-a2cb-a0f8099c255bn@googlegroups.com> <7ed26efe-3be6-4cf0-a097-16d98a8f37dcn@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <5563d673-57fb-441c-a7f1-81878a0bfee6n@googlegroups.com>
Subject: Re: The vector machines of ITH Zurich
From: MitchAlsup@aol.com (MitchAlsup)
Injection-Date: Fri, 02 Jun 2023 23:25:41 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
X-Received-Bytes: 4225
 by: MitchAlsup - Fri, 2 Jun 2023 23:25 UTC

On Friday, June 2, 2023 at 1:58:24 AM UTC-5, Quadibloc wrote:
> On Thursday, June 1, 2023 at 4:57:11 PM UTC-6, MitchAlsup wrote:
> > On Thursday, June 1, 2023 at 5:45:55 PM UTC-5, JimBrakefield wrote:
>
> > > From the "Snitch" web page: "custom ISA extensions Xssr, Xfrep, and Xdma". https://pulp-platform.github.io/snitch/rm/custom_instructions/
> > <
> > xfrep is basically equivalent to my LOOP instruction.
> And xssr handles vectors by turning some registers into portals to vectors in memory.
>
> So, while a Cray worked on vectors in big vector registers, this design works on
> vectors in memory... like a STAR-100. Which, as we all remember, was a _failure_
> in competition with the Cray I.
<
I think you are making a mistake of turning that turning a few registers into memory
portals makes the ISA memory-to-memory. There are all sorts of ways, that after
describing the address pattern, that the number crunching part pulls operands from
some of the portals and pushes results into other portals; to make the portals "flow"
more than 1 operand per cycle.
<
The paper describes things I have considered in implementing VVM on larger machines.
I just did not go so far are to describe the stream as memory portals.
>
> This is why I tend to think that the NEC SX-Aurora TSUBASA is doing it the "right" way,
> but faces the problem of being stuck at 14nm because there's just not enough of a
> supercomputer market to support custom development of this kind of chip.
<
There is a huge market for supercomputers.
There is scant market for $10M+ supercomputers.
>
> And so this is why my thinking goes in the direction of: NEC should design a smaller
> version of their processor, not as powerful, but get economies of mass manufacture
> by convincing, say, SONY to put it in their next PlayStation! That way, computers in
> general would advance to being much more powerful.
<
I remember a comment back when I was designing a 6-wide GBOoO machine that
could utilize 16-DRAM DIMMs simultaneously. The comment was "Apple will only
sell it with 1 DRAM DIMM".
>
> Using a scoreboard instead of GBOoO, of course, is an approach you're likely very
> sympathetic to, and so if this works well, you would definitely be vindicated.
<
Scoreboards and reservation stations both do similar things, and if you descend from
5,000 feet to 20 feet, you can turn the CAMs of a reservation station into a dependence
matrix of the ScoreBoard. In effect, instead of broadcasting 6×8-bit tags per cycle,
you decode the tags locally and assert 6 of 128 wires--saving power without reducing
the amount of information flowing from calculation unit to instruction pickers.
>
> John Savard

Re: The vector machines of ITH Zurich

<8b0f3416-68bd-4c73-bc1c-7f795009b7c8n@googlegroups.com>

  copy mid

https://news.novabbs.org/devel/article-flat.php?id=32590&group=comp.arch#32590

  copy link   Newsgroups: comp.arch
X-Received: by 2002:a05:622a:103:b0:3f7:fab0:6318 with SMTP id u3-20020a05622a010300b003f7fab06318mr208121qtw.5.1685775247979;
Fri, 02 Jun 2023 23:54:07 -0700 (PDT)
X-Received: by 2002:a4a:3706:0:b0:557:2ba6:5eb6 with SMTP id
r6-20020a4a3706000000b005572ba65eb6mr2426540oor.1.1685775247719; Fri, 02 Jun
2023 23:54:07 -0700 (PDT)
Path: i2pn2.org!i2pn.org!usenet.goja.nl.eu.org!3.eu.feeder.erje.net!feeder.erje.net!proxad.net!feeder1-2.proxad.net!209.85.160.216.MISMATCH!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Fri, 2 Jun 2023 23:54:07 -0700 (PDT)
In-Reply-To: <5563d673-57fb-441c-a7f1-81878a0bfee6n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=2001:56a:fa34:c000:82a1:e0d2:8cd2:a3ac;
posting-account=1nOeKQkAAABD2jxp4Pzmx9Hx5g9miO8y
NNTP-Posting-Host: 2001:56a:fa34:c000:82a1:e0d2:8cd2:a3ac
References: <386698fb-72ba-435e-b99b-e251e29bb773n@googlegroups.com>
<0b405880-1e5f-44eb-a2cb-a0f8099c255bn@googlegroups.com> <7ed26efe-3be6-4cf0-a097-16d98a8f37dcn@googlegroups.com>
<5563d673-57fb-441c-a7f1-81878a0bfee6n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <8b0f3416-68bd-4c73-bc1c-7f795009b7c8n@googlegroups.com>
Subject: Re: The vector machines of ITH Zurich
From: jsavard@ecn.ab.ca (Quadibloc)
Injection-Date: Sat, 03 Jun 2023 06:54:07 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
 by: Quadibloc - Sat, 3 Jun 2023 06:54 UTC

On Friday, June 2, 2023 at 5:25:42 PM UTC-6, MitchAlsup wrote:

> Scoreboards and reservation stations both do similar things, and if you descend from
> 5,000 feet to 20 feet, you can turn the CAMs of a reservation station into a dependence
> matrix of the ScoreBoard. In effect, instead of broadcasting 6×8-bit tags per cycle,
> you decode the tags locally and assert 6 of 128 wires--saving power without reducing
> the amount of information flowing from calculation unit to instruction pickers.

So you're basically outlining a *third* way to implement classic OoO: there's reservation
stations, there's register renaming, and now there's a modified scoreboard.

John Savard

Re: The vector machines of ITH Zurich

<cdcd3a51-734a-4634-99e9-0f2210b45de6n@googlegroups.com>

  copy mid

https://news.novabbs.org/devel/article-flat.php?id=32593&group=comp.arch#32593

  copy link   Newsgroups: comp.arch
X-Received: by 2002:ac8:57d1:0:b0:3f6:aaac:6fe4 with SMTP id w17-20020ac857d1000000b003f6aaac6fe4mr239719qta.4.1685782251970;
Sat, 03 Jun 2023 01:50:51 -0700 (PDT)
X-Received: by 2002:aca:6208:0:b0:39a:45e5:9c6d with SMTP id
w8-20020aca6208000000b0039a45e59c6dmr733305oib.0.1685782251629; Sat, 03 Jun
2023 01:50:51 -0700 (PDT)
Path: i2pn2.org!i2pn.org!usenet.blueworldhosting.com!diablo1.usenet.blueworldhosting.com!peer03.iad!feed-me.highwinds-media.com!news.highwinds-media.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Sat, 3 Jun 2023 01:50:51 -0700 (PDT)
In-Reply-To: <8b0f3416-68bd-4c73-bc1c-7f795009b7c8n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=92.19.80.230; posting-account=soFpvwoAAADIBXOYOBcm_mixNPAaxW9p
NNTP-Posting-Host: 92.19.80.230
References: <386698fb-72ba-435e-b99b-e251e29bb773n@googlegroups.com>
<0b405880-1e5f-44eb-a2cb-a0f8099c255bn@googlegroups.com> <7ed26efe-3be6-4cf0-a097-16d98a8f37dcn@googlegroups.com>
<5563d673-57fb-441c-a7f1-81878a0bfee6n@googlegroups.com> <8b0f3416-68bd-4c73-bc1c-7f795009b7c8n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <cdcd3a51-734a-4634-99e9-0f2210b45de6n@googlegroups.com>
Subject: Re: The vector machines of ITH Zurich
From: luke.leighton@gmail.com (luke.l...@gmail.com)
Injection-Date: Sat, 03 Jun 2023 08:50:51 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
X-Received-Bytes: 3306
 by: luke.l...@gmail.com - Sat, 3 Jun 2023 08:50 UTC

On Saturday, June 3, 2023 at 7:54:09 AM UTC+1, Quadibloc wrote:
> On Friday, June 2, 2023 at 5:25:42 PM UTC-6, MitchAlsup wrote:
>
> > Scoreboards and reservation stations both do similar things, and if you descend from
> > 5,000 feet to 20 feet, you can turn the CAMs of a reservation station into a dependence
> > matrix of the ScoreBoard. In effect, instead of broadcasting 6×8-bit tags per cycle,
> > you decode the tags locally and assert 6 of 128 wires--saving power without reducing
> > the amount of information flowing from calculation unit to instruction pickers.
> So you're basically outlining a *third* way to implement classic OoO: there's reservation
> stations, there's register renaming, and now there's a modified scoreboard.

i did an explanation of how to transform Tomasulo
into 6600-style Precise Scoreboards. topologically
they are not much different, but one very important
factor is that the size of the Reorder Buffer *must*
be equal to the number of Reservation Stations, which
no longer preserve instruction ordering "numerically"
(round-robin on the ROB numbers): instead you
have a bit-matrix to create a "linked-list" of the
instruction order, instead.

from there the topological-transformation falls into
place. the above is just another way of Mitch saying
"turn the CAMs of a RS into DMs of Scoreboard".

https://libre-soc.org/3d_gpu/architecture/tomasulo_transformation/

the second part of what Mitch is saying is that now
that you have 128 *unary bits* to assert (and then
read), if you want to do 6-way Multi-Issue you can
simply assert 6 bits. "read" becomes a AND gate.

contrast that with Tomasulo CAMs and you need
a 6W CAM which as a task given to a gate-layout
Engineer will make them think you've got two heads
or something (a la Zaphod Beetlebrox)

l.

Re: The vector machines of ITH Zurich

<2aa5217e-8b63-4ba0-a939-24f11e6e1cabn@googlegroups.com>

  copy mid

https://news.novabbs.org/devel/article-flat.php?id=32601&group=comp.arch#32601

  copy link   Newsgroups: comp.arch
X-Received: by 2002:ac8:5c03:0:b0:3f6:b7c9:e433 with SMTP id i3-20020ac85c03000000b003f6b7c9e433mr418041qti.8.1685811163923;
Sat, 03 Jun 2023 09:52:43 -0700 (PDT)
X-Received: by 2002:a9d:7612:0:b0:6a8:b659:d46d with SMTP id
k18-20020a9d7612000000b006a8b659d46dmr1605088otl.3.1685811163570; Sat, 03 Jun
2023 09:52:43 -0700 (PDT)
Path: i2pn2.org!i2pn.org!usenet.blueworldhosting.com!diablo1.usenet.blueworldhosting.com!peer03.iad!feed-me.highwinds-media.com!news.highwinds-media.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Sat, 3 Jun 2023 09:52:43 -0700 (PDT)
In-Reply-To: <cdcd3a51-734a-4634-99e9-0f2210b45de6n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=2600:1700:291:29f0:2103:71:3a42:aff5;
posting-account=H_G_JQkAAADS6onOMb-dqvUozKse7mcM
NNTP-Posting-Host: 2600:1700:291:29f0:2103:71:3a42:aff5
References: <386698fb-72ba-435e-b99b-e251e29bb773n@googlegroups.com>
<0b405880-1e5f-44eb-a2cb-a0f8099c255bn@googlegroups.com> <7ed26efe-3be6-4cf0-a097-16d98a8f37dcn@googlegroups.com>
<5563d673-57fb-441c-a7f1-81878a0bfee6n@googlegroups.com> <8b0f3416-68bd-4c73-bc1c-7f795009b7c8n@googlegroups.com>
<cdcd3a51-734a-4634-99e9-0f2210b45de6n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <2aa5217e-8b63-4ba0-a939-24f11e6e1cabn@googlegroups.com>
Subject: Re: The vector machines of ITH Zurich
From: MitchAlsup@aol.com (MitchAlsup)
Injection-Date: Sat, 03 Jun 2023 16:52:43 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
X-Received-Bytes: 3932
 by: MitchAlsup - Sat, 3 Jun 2023 16:52 UTC

On Saturday, June 3, 2023 at 3:50:53 AM UTC-5, luke.l...@gmail.com wrote:
> On Saturday, June 3, 2023 at 7:54:09 AM UTC+1, Quadibloc wrote:
> > On Friday, June 2, 2023 at 5:25:42 PM UTC-6, MitchAlsup wrote:
> >
> > > Scoreboards and reservation stations both do similar things, and if you descend from
> > > 5,000 feet to 20 feet, you can turn the CAMs of a reservation station into a dependence
> > > matrix of the ScoreBoard. In effect, instead of broadcasting 6×8-bit tags per cycle,
> > > you decode the tags locally and assert 6 of 128 wires--saving power without reducing
> > > the amount of information flowing from calculation unit to instruction pickers.
> > So you're basically outlining a *third* way to implement classic OoO: there's reservation
> > stations, there's register renaming, and now there's a modified scoreboard.
> i did an explanation of how to transform Tomasulo
> into 6600-style Precise Scoreboards. topologically
> they are not much different, but one very important
> factor is that the size of the Reorder Buffer *must*
> be equal to the number of Reservation Stations, which
> no longer preserve instruction ordering "numerically"
> (round-robin on the ROB numbers): instead you
> have a bit-matrix to create a "linked-list" of the
> instruction order, instead.
>
> from there the topological-transformation falls into
> place. the above is just another way of Mitch saying
> "turn the CAMs of a RS into DMs of Scoreboard".
>
> https://libre-soc.org/3d_gpu/architecture/tomasulo_transformation/
>
> the second part of what Mitch is saying is that now
> that you have 128 *unary bits* to assert (and then
> read), if you want to do 6-way Multi-Issue you can
> simply assert 6 bits. "read" becomes a AND gate.
>
> contrast that with Tomasulo CAMs and you need
> a 6W CAM which as a task given to a gate-layout
> Engineer will make them think you've got two heads
> or something (a la Zaphod Beetlebrox)
<
On my GBOoO designs, the CAMs only look at 1 of the
6 tag-busses; yes all 6 tag-busses go to every CAM,
but during INSERT the renamer tells the CAM which FU
will produce the result, and so there is a 6-way mux from
the tag-busses to the CAM, and the CAM only looks at a
single bus.
>
> l.

Re: The vector machines of ITH Zurich

<584457623.707579826.612958.acolvin-efunct.com@news.eternal-september.org>

  copy mid

https://news.novabbs.org/devel/article-flat.php?id=32630&group=comp.arch#32630

  copy link   Newsgroups: comp.arch
Path: i2pn2.org!i2pn.org!eternal-september.org!news.eternal-september.org!.POSTED!not-for-mail
From: acolvin@efunct.com (mac)
Newsgroups: comp.arch
Subject: Re: The vector machines of ITH Zurich
Date: Sun, 4 Jun 2023 14:22:24 -0000 (UTC)
Organization: A noiseless patient Spider
Lines: 9
Message-ID: <584457623.707579826.612958.acolvin-efunct.com@news.eternal-september.org>
References: <386698fb-72ba-435e-b99b-e251e29bb773n@googlegroups.com>
<0b405880-1e5f-44eb-a2cb-a0f8099c255bn@googlegroups.com>
<c802403d-f758-418f-a191-8a68df9036fbn@googlegroups.com>
MIME-Version: 1.0
Content-Type: text/plain; charset=UTF-8
Content-Transfer-Encoding: 8bit
Injection-Date: Sun, 4 Jun 2023 14:22:24 -0000 (UTC)
Injection-Info: dont-email.me; posting-host="4129ecd05955848b2db719a08876b93c";
logging-data="4182515"; mail-complaints-to="abuse@eternal-september.org"; posting-account="U2FsdGVkX1/rvqmzBrDGAJ+wJy9KSrKB"
User-Agent: NewsTap/5.5 (iPad)
Cancel-Lock: sha1:I3JNO27oi0RVnwtoetWdLuQ6PUE=
sha1:E9xPQtbFenq1VM8Bj2V32ZWn9/s=
 by: mac - Sun, 4 Jun 2023 14:22 UTC

>xfrep is basically equivalent to my LOOP instruction.

>Yup, and there is the CDC6600 with implied loads and stores. Some of the
> TI chips had 2D DMA engines. The ITH Zurich group is also looking into
> sparse vector acceleration: Indirection Stream Semantic Register
> Architecture for Efficient Sparse-Dense Linear Algebra, Paul Scheffler 2020 etal.

And Wulf’s WM ?
https://dl.acm.org/doi/pdf/10.1145/44571.44577

Re: The vector machines of ITH Zurich

<u5jms7$8cs0$1@dont-email.me>

  copy mid

https://news.novabbs.org/devel/article-flat.php?id=32649&group=comp.arch#32649

  copy link   Newsgroups: comp.arch
Path: i2pn2.org!i2pn.org!eternal-september.org!news.eternal-september.org!.POSTED!not-for-mail
From: ivan@millcomputing.com (Ivan Godard)
Newsgroups: comp.arch
Subject: Re: The vector machines of ITH Zurich
Date: Sun, 4 Jun 2023 21:04:24 -0700
Organization: A noiseless patient Spider
Lines: 27
Message-ID: <u5jms7$8cs0$1@dont-email.me>
References: <386698fb-72ba-435e-b99b-e251e29bb773n@googlegroups.com>
<0b405880-1e5f-44eb-a2cb-a0f8099c255bn@googlegroups.com>
<c802403d-f758-418f-a191-8a68df9036fbn@googlegroups.com>
<584457623.707579826.612958.acolvin-efunct.com@news.eternal-september.org>
MIME-Version: 1.0
Content-Type: text/plain; charset=UTF-8; format=flowed
Content-Transfer-Encoding: 8bit
Injection-Date: Mon, 5 Jun 2023 04:04:23 -0000 (UTC)
Injection-Info: dont-email.me; posting-host="c8764607fe16bccfac7f1bb13cbc6100";
logging-data="275328"; mail-complaints-to="abuse@eternal-september.org"; posting-account="U2FsdGVkX18EgcYsn4iZ5/7FoevYaO1W"
User-Agent: Mozilla/5.0 (Windows NT 10.0; Win64; x64; rv:102.0) Gecko/20100101
Thunderbird/102.11.2
Cancel-Lock: sha1:5IV+Xd4cZevwgTMv9Q+AdSj0t78=
In-Reply-To: <584457623.707579826.612958.acolvin-efunct.com@news.eternal-september.org>
Content-Language: en-US
 by: Ivan Godard - Mon, 5 Jun 2023 04:04 UTC

On 6/4/2023 7:22 AM, mac wrote:
>> xfrep is basically equivalent to my LOOP instruction.
>
>> Yup, and there is the CDC6600 with implied loads and stores. Some of the
>> TI chips had 2D DMA engines. The ITH Zurich group is also looking into
>> sparse vector acceleration: Indirection Stream Semantic Register
>> Architecture for Efficient Sparse-Dense Linear Algebra, Paul Scheffler 2020 etal.
>
> And Wulf’s WM ?
> https://dl.acm.org/doi/pdf/10.1145/44571.44577

Bill was an old buddy of mine. He looked like the canonical Air Force
Lt. Colonel, while I looked like a disreputable hippie, so wherever we
went we were sure of offending someone.

The WM two-fer instructions might be seen as the philosophical ancestor
of Mill ganged ops. Two-fers were of greater use in WM as it was a
semantically lower level ISA that had to encode in fixed sized words.
Mill is higher level and lacks the encoding constraint.

The most useful WM two-fer is in addressing where the three-argument
two-fer lets you pack both the sums into one (two-fer) instruction; Mill
just lets you have three-operand subscripted load/store as primitive and
doesn't have to worry about encoding bits.

The second most useful pattern was cascading an arithmetic op into a
compare. That's a gang on Mill.

Re: The vector machines of ITH Zurich

<u5qtk8$185op$1@dont-email.me>

  copy mid

https://news.novabbs.org/devel/article-flat.php?id=32695&group=comp.arch#32695

  copy link   Newsgroups: comp.arch
Path: i2pn2.org!i2pn.org!eternal-september.org!news.eternal-september.org!.POSTED!not-for-mail
From: ggtgp@yahoo.com (Brett)
Newsgroups: comp.arch
Subject: Re: The vector machines of ITH Zurich
Date: Wed, 7 Jun 2023 21:42:32 -0000 (UTC)
Organization: A noiseless patient Spider
Lines: 57
Message-ID: <u5qtk8$185op$1@dont-email.me>
References: <386698fb-72ba-435e-b99b-e251e29bb773n@googlegroups.com>
<0b405880-1e5f-44eb-a2cb-a0f8099c255bn@googlegroups.com>
<7ed26efe-3be6-4cf0-a097-16d98a8f37dcn@googlegroups.com>
<5563d673-57fb-441c-a7f1-81878a0bfee6n@googlegroups.com>
MIME-Version: 1.0
Content-Type: text/plain; charset=UTF-8
Content-Transfer-Encoding: 8bit
Injection-Date: Wed, 7 Jun 2023 21:42:32 -0000 (UTC)
Injection-Info: dont-email.me; posting-host="cacaf63da9ea04b4f199a158ab66c1ee";
logging-data="1316633"; mail-complaints-to="abuse@eternal-september.org"; posting-account="U2FsdGVkX1+MLt12jGdMg8nk3oAZkump"
User-Agent: NewsTap/5.5 (iPad)
Cancel-Lock: sha1:a52GjSmsmqQNNKa3nFP/Pr9LK5g=
sha1:fPCerCG3cpIBlSxKQ18AZIpW738=
 by: Brett - Wed, 7 Jun 2023 21:42 UTC

MitchAlsup <MitchAlsup@aol.com> wrote:
> On Friday, June 2, 2023 at 1:58:24 AM UTC-5, Quadibloc wrote:
>> On Thursday, June 1, 2023 at 4:57:11 PM UTC-6, MitchAlsup wrote:
>>> On Thursday, June 1, 2023 at 5:45:55 PM UTC-5, JimBrakefield wrote:
>>
>>>> From the "Snitch" web page: "custom ISA extensions Xssr, Xfrep, and Xdma".
>>>> https://pulp-platform.github.io/snitch/rm/custom_instructions/
>>> <
>>> xfrep is basically equivalent to my LOOP instruction.
>> And xssr handles vectors by turning some registers into portals to vectors in memory.
>>
>> So, while a Cray worked on vectors in big vector registers, this design works on
>> vectors in memory... like a STAR-100. Which, as we all remember, was a _failure_
>> in competition with the Cray I.
> <
> I think you are making a mistake of turning that turning a few registers into memory
> portals makes the ISA memory-to-memory. There are all sorts of ways, that after
> describing the address pattern, that the number crunching part pulls operands from
> some of the portals and pushes results into other portals; to make the portals "flow"
> more than 1 operand per cycle.
> <
> The paper describes things I have considered in implementing VVM on larger machines.
> I just did not go so far are to describe the stream as memory portals.
>>
>> This is why I tend to think that the NEC SX-Aurora TSUBASA is doing it the "right" way,
>> but faces the problem of being stuck at 14nm because there's just not enough of a
>> supercomputer market to support custom development of this kind of chip.
> <
> There is a huge market for supercomputers.
> There is scant market for $10M+ supercomputers.
>>
>> And so this is why my thinking goes in the direction of: NEC should design a smaller
>> version of their processor, not as powerful, but get economies of mass manufacture
>> by convincing, say, SONY to put it in their next PlayStation! That way, computers in
>> general would advance to being much more powerful.
> <
> I remember a comment back when I was designing a 6-wide GBOoO machine that
> could utilize 16-DRAM DIMMs simultaneously. The comment was "Apple will only
> sell it with 1 DRAM DIMM".

With the M2 machines Apple has dumped DIMMs and brought RAM onto the CPU
carrier, quadrupling the dram bandwidth.

>> Using a scoreboard instead of GBOoO, of course, is an approach you're likely very
>> sympathetic to, and so if this works well, you would definitely be vindicated.
> <
> Scoreboards and reservation stations both do similar things, and if you descend from
> 5,000 feet to 20 feet, you can turn the CAMs of a reservation station into a dependence
> matrix of the ScoreBoard. In effect, instead of broadcasting 6×8-bit tags per cycle,
> you decode the tags locally and assert 6 of 128 wires--saving power without reducing
> the amount of information flowing from calculation unit to instruction pickers.
>>
>> John Savard
>

Re: The vector machines of ITH Zurich

<1ca69920-e709-4aac-871e-e406d6372a54n@googlegroups.com>

  copy mid

https://news.novabbs.org/devel/article-flat.php?id=32702&group=comp.arch#32702

  copy link   Newsgroups: comp.arch
X-Received: by 2002:ad4:4f23:0:b0:626:1d68:b8e0 with SMTP id fc3-20020ad44f23000000b006261d68b8e0mr194445qvb.11.1686243651709;
Thu, 08 Jun 2023 10:00:51 -0700 (PDT)
X-Received: by 2002:a05:6870:7726:b0:1a2:c17e:a05e with SMTP id
dw38-20020a056870772600b001a2c17ea05emr3234069oab.2.1686243651118; Thu, 08
Jun 2023 10:00:51 -0700 (PDT)
Path: i2pn2.org!i2pn.org!usenet.blueworldhosting.com!diablo1.usenet.blueworldhosting.com!peer01.iad!feed-me.highwinds-media.com!news.highwinds-media.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Thu, 8 Jun 2023 10:00:50 -0700 (PDT)
In-Reply-To: <u5qtk8$185op$1@dont-email.me>
Injection-Info: google-groups.googlegroups.com; posting-host=2600:1700:291:29f0:780a:decd:f6c5:d723;
posting-account=H_G_JQkAAADS6onOMb-dqvUozKse7mcM
NNTP-Posting-Host: 2600:1700:291:29f0:780a:decd:f6c5:d723
References: <386698fb-72ba-435e-b99b-e251e29bb773n@googlegroups.com>
<0b405880-1e5f-44eb-a2cb-a0f8099c255bn@googlegroups.com> <7ed26efe-3be6-4cf0-a097-16d98a8f37dcn@googlegroups.com>
<5563d673-57fb-441c-a7f1-81878a0bfee6n@googlegroups.com> <u5qtk8$185op$1@dont-email.me>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <1ca69920-e709-4aac-871e-e406d6372a54n@googlegroups.com>
Subject: Re: The vector machines of ITH Zurich
From: MitchAlsup@aol.com (MitchAlsup)
Injection-Date: Thu, 08 Jun 2023 17:00:51 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
X-Received-Bytes: 4829
 by: MitchAlsup - Thu, 8 Jun 2023 17:00 UTC

On Wednesday, June 7, 2023 at 4:42:36 PM UTC-5, Brett wrote:
> MitchAlsup <Mitch...@aol.com> wrote:
> > On Friday, June 2, 2023 at 1:58:24 AM UTC-5, Quadibloc wrote:
> >> On Thursday, June 1, 2023 at 4:57:11 PM UTC-6, MitchAlsup wrote:
> >>> On Thursday, June 1, 2023 at 5:45:55 PM UTC-5, JimBrakefield wrote:
> >>
> >>>> From the "Snitch" web page: "custom ISA extensions Xssr, Xfrep, and Xdma".
> >>>> https://pulp-platform.github.io/snitch/rm/custom_instructions/
> >>> <
> >>> xfrep is basically equivalent to my LOOP instruction.
> >> And xssr handles vectors by turning some registers into portals to vectors in memory.
> >>
> >> So, while a Cray worked on vectors in big vector registers, this design works on
> >> vectors in memory... like a STAR-100. Which, as we all remember, was a _failure_
> >> in competition with the Cray I.
> > <
> > I think you are making a mistake of turning that turning a few registers into memory
> > portals makes the ISA memory-to-memory. There are all sorts of ways, that after
> > describing the address pattern, that the number crunching part pulls operands from
> > some of the portals and pushes results into other portals; to make the portals "flow"
> > more than 1 operand per cycle.
> > <
> > The paper describes things I have considered in implementing VVM on larger machines.
> > I just did not go so far are to describe the stream as memory portals.
> >>
> >> This is why I tend to think that the NEC SX-Aurora TSUBASA is doing it the "right" way,
> >> but faces the problem of being stuck at 14nm because there's just not enough of a
> >> supercomputer market to support custom development of this kind of chip.
> > <
> > There is a huge market for supercomputers.
> > There is scant market for $10M+ supercomputers.
> >>
> >> And so this is why my thinking goes in the direction of: NEC should design a smaller
> >> version of their processor, not as powerful, but get economies of mass manufacture
> >> by convincing, say, SONY to put it in their next PlayStation! That way, computers in
> >> general would advance to being much more powerful.
> > <
> > I remember a comment back when I was designing a 6-wide GBOoO machine that
> > could utilize 16-DRAM DIMMs simultaneously. The comment was "Apple will only
> > sell it with 1 DRAM DIMM".
<
> With the M2 machines Apple has dumped DIMMs and brought RAM onto the CPU
> carrier, quadrupling the dram bandwidth.
<
And makes upgrading DRAM size impossible.
<
> >> Using a scoreboard instead of GBOoO, of course, is an approach you're likely very
> >> sympathetic to, and so if this works well, you would definitely be vindicated.
> > <
> > Scoreboards and reservation stations both do similar things, and if you descend from
> > 5,000 feet to 20 feet, you can turn the CAMs of a reservation station into a dependence
> > matrix of the ScoreBoard. In effect, instead of broadcasting 6×8-bit tags per cycle,
> > you decode the tags locally and assert 6 of 128 wires--saving power without reducing
> > the amount of information flowing from calculation unit to instruction pickers.
> >>
> >> John Savard
> >

Re: The vector machines of ITH Zurich

<u5ue1c$1r0s1$1@dont-email.me>

  copy mid

https://news.novabbs.org/devel/article-flat.php?id=32709&group=comp.arch#32709

  copy link   Newsgroups: comp.arch
Path: i2pn2.org!i2pn.org!eternal-september.org!news.eternal-september.org!.POSTED!not-for-mail
From: terje.mathisen@tmsw.no (Terje Mathisen)
Newsgroups: comp.arch
Subject: Re: The vector machines of ITH Zurich
Date: Fri, 9 Jun 2023 07:40:59 +0200
Organization: A noiseless patient Spider
Lines: 64
Message-ID: <u5ue1c$1r0s1$1@dont-email.me>
References: <386698fb-72ba-435e-b99b-e251e29bb773n@googlegroups.com>
<0b405880-1e5f-44eb-a2cb-a0f8099c255bn@googlegroups.com>
<7ed26efe-3be6-4cf0-a097-16d98a8f37dcn@googlegroups.com>
<5563d673-57fb-441c-a7f1-81878a0bfee6n@googlegroups.com>
<u5qtk8$185op$1@dont-email.me>
<1ca69920-e709-4aac-871e-e406d6372a54n@googlegroups.com>
MIME-Version: 1.0
Content-Type: text/plain; charset=ISO-8859-1; format=flowed
Content-Transfer-Encoding: 8bit
Injection-Date: Fri, 9 Jun 2023 05:41:00 -0000 (UTC)
Injection-Info: dont-email.me; posting-host="84b286e865bd8de3e3641a297f510669";
logging-data="1934209"; mail-complaints-to="abuse@eternal-september.org"; posting-account="U2FsdGVkX180TxuqZxvXh9qT8+NeutZo3fkNmLg9yPeR3oTzkLEtWw=="
User-Agent: Mozilla/5.0 (Windows NT 10.0; Win64; x64; rv:91.0) Gecko/20100101
Firefox/91.0 SeaMonkey/2.53.16
Cancel-Lock: sha1:Rwa66oax58qPYmOXrXAsds9YV2w=
In-Reply-To: <1ca69920-e709-4aac-871e-e406d6372a54n@googlegroups.com>
 by: Terje Mathisen - Fri, 9 Jun 2023 05:40 UTC

MitchAlsup wrote:
> On Wednesday, June 7, 2023 at 4:42:36 PM UTC-5, Brett wrote:
>> MitchAlsup <Mitch...@aol.com> wrote:
>>> On Friday, June 2, 2023 at 1:58:24 AM UTC-5, Quadibloc wrote:
>>>> On Thursday, June 1, 2023 at 4:57:11 PM UTC-6, MitchAlsup wrote:
>>>>> On Thursday, June 1, 2023 at 5:45:55 PM UTC-5, JimBrakefield wrote:
>>>>
>>>>>> From the "Snitch" web page: "custom ISA extensions Xssr, Xfrep, and Xdma".
>>>>>> https://pulp-platform.github.io/snitch/rm/custom_instructions/
>>>>> <
>>>>> xfrep is basically equivalent to my LOOP instruction.
>>>> And xssr handles vectors by turning some registers into portals to vectors in memory.
>>>>
>>>> So, while a Cray worked on vectors in big vector registers, this design works on
>>>> vectors in memory... like a STAR-100. Which, as we all remember, was a _failure_
>>>> in competition with the Cray I.
>>> <
>>> I think you are making a mistake of turning that turning a few registers into memory
>>> portals makes the ISA memory-to-memory. There are all sorts of ways, that after
>>> describing the address pattern, that the number crunching part pulls operands from
>>> some of the portals and pushes results into other portals; to make the portals "flow"
>>> more than 1 operand per cycle.
>>> <
>>> The paper describes things I have considered in implementing VVM on larger machines.
>>> I just did not go so far are to describe the stream as memory portals.
>>>>
>>>> This is why I tend to think that the NEC SX-Aurora TSUBASA is doing it the "right" way,
>>>> but faces the problem of being stuck at 14nm because there's just not enough of a
>>>> supercomputer market to support custom development of this kind of chip.
>>> <
>>> There is a huge market for supercomputers.
>>> There is scant market for $10M+ supercomputers.
>>>>
>>>> And so this is why my thinking goes in the direction of: NEC should design a smaller
>>>> version of their processor, not as powerful, but get economies of mass manufacture
>>>> by convincing, say, SONY to put it in their next PlayStation! That way, computers in
>>>> general would advance to being much more powerful.
>>> <
>>> I remember a comment back when I was designing a 6-wide GBOoO machine that
>>> could utilize 16-DRAM DIMMs simultaneously. The comment was "Apple will only
>>> sell it with 1 DRAM DIMM".
> <
>> With the M2 machines Apple has dumped DIMMs and brought RAM onto the CPU
>> carrier, quadrupling the dram bandwidth.
> <
> And makes upgrading DRAM size impossible.

I am pretty sure that was done after getting some (automatic telemetry?)
statistics about how many Apple machines are ever modified by adding RAM
after purchase.

I always used to do this, but my two latest work machines (2.5 and 5
years old) both came with their max config of 32 GB, and I have never
felt a big need to upgrade this.

On the not unreasonable assumption that the average Apple buyer is less
inclined to modify their machines, I'm guessing the actual percentage of
RAM upgrades have been well under 1%.

Terje

--
- <Terje.Mathisen at tmsw.no>
"almost all programming can be viewed as an exercise in caching"

Re: The vector machines of ITH Zurich

<1b14de45-0e9a-4eb2-aa0a-c999d483476cn@googlegroups.com>

  copy mid

https://news.novabbs.org/devel/article-flat.php?id=32714&group=comp.arch#32714

  copy link   Newsgroups: comp.arch
X-Received: by 2002:a37:70e:0:b0:75b:12fe:8a95 with SMTP id 14-20020a37070e000000b0075b12fe8a95mr121997qkh.4.1686307694089;
Fri, 09 Jun 2023 03:48:14 -0700 (PDT)
X-Received: by 2002:a9d:6249:0:b0:6aa:cf99:b0f3 with SMTP id
i9-20020a9d6249000000b006aacf99b0f3mr484139otk.5.1686307693683; Fri, 09 Jun
2023 03:48:13 -0700 (PDT)
Path: i2pn2.org!i2pn.org!weretis.net!feeder6.news.weretis.net!news.cmpublishers.com!usenet.blueworldhosting.com!diablo1.usenet.blueworldhosting.com!peer03.iad!feed-me.highwinds-media.com!news.highwinds-media.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Fri, 9 Jun 2023 03:48:13 -0700 (PDT)
In-Reply-To: <u5ue1c$1r0s1$1@dont-email.me>
Injection-Info: google-groups.googlegroups.com; posting-host=87.68.182.136; posting-account=ow8VOgoAAAAfiGNvoH__Y4ADRwQF1hZW
NNTP-Posting-Host: 87.68.182.136
References: <386698fb-72ba-435e-b99b-e251e29bb773n@googlegroups.com>
<0b405880-1e5f-44eb-a2cb-a0f8099c255bn@googlegroups.com> <7ed26efe-3be6-4cf0-a097-16d98a8f37dcn@googlegroups.com>
<5563d673-57fb-441c-a7f1-81878a0bfee6n@googlegroups.com> <u5qtk8$185op$1@dont-email.me>
<1ca69920-e709-4aac-871e-e406d6372a54n@googlegroups.com> <u5ue1c$1r0s1$1@dont-email.me>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <1b14de45-0e9a-4eb2-aa0a-c999d483476cn@googlegroups.com>
Subject: Re: The vector machines of ITH Zurich
From: already5chosen@yahoo.com (Michael S)
Injection-Date: Fri, 09 Jun 2023 10:48:14 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
X-Received-Bytes: 5548
 by: Michael S - Fri, 9 Jun 2023 10:48 UTC

On Friday, June 9, 2023 at 8:41:03 AM UTC+3, Terje Mathisen wrote:
> MitchAlsup wrote:
> > On Wednesday, June 7, 2023 at 4:42:36 PM UTC-5, Brett wrote:
> >> MitchAlsup <Mitch...@aol.com> wrote:
> >>> On Friday, June 2, 2023 at 1:58:24 AM UTC-5, Quadibloc wrote:
> >>>> On Thursday, June 1, 2023 at 4:57:11 PM UTC-6, MitchAlsup wrote:
> >>>>> On Thursday, June 1, 2023 at 5:45:55 PM UTC-5, JimBrakefield wrote:
> >>>>
> >>>>>> From the "Snitch" web page: "custom ISA extensions Xssr, Xfrep, and Xdma".
> >>>>>> https://pulp-platform.github.io/snitch/rm/custom_instructions/
> >>>>> <
> >>>>> xfrep is basically equivalent to my LOOP instruction.
> >>>> And xssr handles vectors by turning some registers into portals to vectors in memory.
> >>>>
> >>>> So, while a Cray worked on vectors in big vector registers, this design works on
> >>>> vectors in memory... like a STAR-100. Which, as we all remember, was a _failure_
> >>>> in competition with the Cray I.
> >>> <
> >>> I think you are making a mistake of turning that turning a few registers into memory
> >>> portals makes the ISA memory-to-memory. There are all sorts of ways, that after
> >>> describing the address pattern, that the number crunching part pulls operands from
> >>> some of the portals and pushes results into other portals; to make the portals "flow"
> >>> more than 1 operand per cycle.
> >>> <
> >>> The paper describes things I have considered in implementing VVM on larger machines.
> >>> I just did not go so far are to describe the stream as memory portals..
> >>>>
> >>>> This is why I tend to think that the NEC SX-Aurora TSUBASA is doing it the "right" way,
> >>>> but faces the problem of being stuck at 14nm because there's just not enough of a
> >>>> supercomputer market to support custom development of this kind of chip.
> >>> <
> >>> There is a huge market for supercomputers.
> >>> There is scant market for $10M+ supercomputers.
> >>>>
> >>>> And so this is why my thinking goes in the direction of: NEC should design a smaller
> >>>> version of their processor, not as powerful, but get economies of mass manufacture
> >>>> by convincing, say, SONY to put it in their next PlayStation! That way, computers in
> >>>> general would advance to being much more powerful.
> >>> <
> >>> I remember a comment back when I was designing a 6-wide GBOoO machine that
> >>> could utilize 16-DRAM DIMMs simultaneously. The comment was "Apple will only
> >>> sell it with 1 DRAM DIMM".
> > <
> >> With the M2 machines Apple has dumped DIMMs and brought RAM onto the CPU
> >> carrier, quadrupling the dram bandwidth.
> > <
> > And makes upgrading DRAM size impossible.
> I am pretty sure that was done after getting some (automatic telemetry?)
> statistics about how many Apple machines are ever modified by adding RAM
> after purchase.
>
> I always used to do this, but my two latest work machines (2.5 and 5
> years old) both came with their max config of 32 GB, and I have never
> felt a big need to upgrade this.
>
> On the not unreasonable assumption that the average Apple buyer is less
> inclined to modify their machines, I'm guessing the actual percentage of
> RAM upgrades have been well under 1%.
>

For majority of Macs - sure. Esp. considering that for majority of the models
it's simply impossible.
But specifically for cheese grater Mac Pro I wouldn't be so sure. Fully loaded
with memory it is VERY expensive even by Apple standards. So I can see people
originally buying more modest configuration and then upgrading after they found
out that they really need more.
But then, my knowledge of habits of Mac users is weak.

> Terje
>
> --
> - <Terje.Mathisen at tmsw.no>
> "almost all programming can be viewed as an exercise in caching"

Re: The vector machines of ITH Zurich

<2023Jun9.124633@mips.complang.tuwien.ac.at>

  copy mid

https://news.novabbs.org/devel/article-flat.php?id=32715&group=comp.arch#32715

  copy link   Newsgroups: comp.arch
Path: i2pn2.org!i2pn.org!eternal-september.org!news.eternal-september.org!.POSTED!not-for-mail
From: anton@mips.complang.tuwien.ac.at (Anton Ertl)
Newsgroups: comp.arch
Subject: Re: The vector machines of ITH Zurich
Date: Fri, 09 Jun 2023 10:46:33 GMT
Organization: Institut fuer Computersprachen, Technische Universitaet Wien
Lines: 41
Message-ID: <2023Jun9.124633@mips.complang.tuwien.ac.at>
References: <386698fb-72ba-435e-b99b-e251e29bb773n@googlegroups.com> <0b405880-1e5f-44eb-a2cb-a0f8099c255bn@googlegroups.com> <7ed26efe-3be6-4cf0-a097-16d98a8f37dcn@googlegroups.com> <5563d673-57fb-441c-a7f1-81878a0bfee6n@googlegroups.com> <u5qtk8$185op$1@dont-email.me> <1ca69920-e709-4aac-871e-e406d6372a54n@googlegroups.com> <u5ue1c$1r0s1$1@dont-email.me>
Injection-Info: dont-email.me; posting-host="de54a5ae0749f0606fc5dca736ff05eb";
logging-data="1996189"; mail-complaints-to="abuse@eternal-september.org"; posting-account="U2FsdGVkX18BJSZ5vDyWK+ER4dRGnvJH"
Cancel-Lock: sha1:0VpzxhB3rkelhg63rr2eXHTUHP4=
X-newsreader: xrn 10.11
 by: Anton Ertl - Fri, 9 Jun 2023 10:46 UTC

Terje Mathisen <terje.mathisen@tmsw.no> writes:
>MitchAlsup wrote:
>> On Wednesday, June 7, 2023 at 4:42:36 PM UTC-5, Brett wrote:
>>> With the M2 machines Apple has dumped DIMMs and brought RAM onto the CPU
>>> carrier, quadrupling the dram bandwidth.
>> <
>> And makes upgrading DRAM size impossible.
>
>I am pretty sure that was done after getting some (automatic telemetry?)
>statistics about how many Apple machines are ever modified by adding RAM
>after purchase.

Sure. Every time a user upgraded the RAM, that was a lost opportunity
of a sale of a complete Apple machine. And they probably lost even
their ample margins on the DIMMs (or have they locked the hardware
such that it can only use Apple-supplied DIMMs?).

>I always used to do this, but my two latest work machines (2.5 and 5
>years old) both came with their max config of 32 GB, and I have never
>felt a big need to upgrade this.

Max config of 32GB? The maximum size of one DDR4 DIMM is 32GB. Did you
buy machines with only one DIMM slot? Or with soldered DRAM?

>On the not unreasonable assumption that the average Apple buyer is less
>inclined to modify their machines, I'm guessing the actual percentage of
>RAM upgrades have been well under 1%.

A collegue of mine had some Apple laptop with soldered RAM, and found
that the RAM became insufficient for processing his emails. So he
bought another laptop, this time with 64GB, which means that it was
extra expensive, but for some reason he still chose an Apple. So
Apple certainly profitted a lot from the non-upgradeability: Not only
did he buy a complete new machine, he also bought it with an
extra-large amount of RAM (at extreme prices) to avoid having to
upgrade for this reason again.

- anton
--
'Anyone trying for "industrial quality" ISA should avoid undefined behavior.'
Mitch Alsup, <c17fcd89-f024-40e7-a594-88a85ac10d20o@googlegroups.com>

Re: The vector machines of ITH Zurich

<7bb17310-321a-43ae-8181-5d1c10a30086n@googlegroups.com>

  copy mid

https://news.novabbs.org/devel/article-flat.php?id=32716&group=comp.arch#32716

  copy link   Newsgroups: comp.arch
X-Received: by 2002:a37:614:0:b0:75c:dd86:8f14 with SMTP id 20-20020a370614000000b0075cdd868f14mr130071qkg.4.1686309515317;
Fri, 09 Jun 2023 04:18:35 -0700 (PDT)
X-Received: by 2002:a05:6830:130c:b0:6ac:9210:93ae with SMTP id
p12-20020a056830130c00b006ac921093aemr504273otq.2.1686309514971; Fri, 09 Jun
2023 04:18:34 -0700 (PDT)
Path: i2pn2.org!i2pn.org!usenet.blueworldhosting.com!diablo1.usenet.blueworldhosting.com!peer03.iad!feed-me.highwinds-media.com!news.highwinds-media.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Fri, 9 Jun 2023 04:18:34 -0700 (PDT)
In-Reply-To: <2023Jun9.124633@mips.complang.tuwien.ac.at>
Injection-Info: google-groups.googlegroups.com; posting-host=87.68.182.136; posting-account=ow8VOgoAAAAfiGNvoH__Y4ADRwQF1hZW
NNTP-Posting-Host: 87.68.182.136
References: <386698fb-72ba-435e-b99b-e251e29bb773n@googlegroups.com>
<0b405880-1e5f-44eb-a2cb-a0f8099c255bn@googlegroups.com> <7ed26efe-3be6-4cf0-a097-16d98a8f37dcn@googlegroups.com>
<5563d673-57fb-441c-a7f1-81878a0bfee6n@googlegroups.com> <u5qtk8$185op$1@dont-email.me>
<1ca69920-e709-4aac-871e-e406d6372a54n@googlegroups.com> <u5ue1c$1r0s1$1@dont-email.me>
<2023Jun9.124633@mips.complang.tuwien.ac.at>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <7bb17310-321a-43ae-8181-5d1c10a30086n@googlegroups.com>
Subject: Re: The vector machines of ITH Zurich
From: already5chosen@yahoo.com (Michael S)
Injection-Date: Fri, 09 Jun 2023 11:18:35 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
X-Received-Bytes: 3874
 by: Michael S - Fri, 9 Jun 2023 11:18 UTC

On Friday, June 9, 2023 at 1:58:32 PM UTC+3, Anton Ertl wrote:
> Terje Mathisen <terje.m...@tmsw.no> writes:
> >MitchAlsup wrote:
> >> On Wednesday, June 7, 2023 at 4:42:36 PM UTC-5, Brett wrote:
> >>> With the M2 machines Apple has dumped DIMMs and brought RAM onto the CPU
> >>> carrier, quadrupling the dram bandwidth.
> >> <
> >> And makes upgrading DRAM size impossible.
> >
> >I am pretty sure that was done after getting some (automatic telemetry?)
> >statistics about how many Apple machines are ever modified by adding RAM
> >after purchase.
> Sure. Every time a user upgraded the RAM, that was a lost opportunity
> of a sale of a complete Apple machine. And they probably lost even
> their ample margins on the DIMMs (or have they locked the hardware
> such that it can only use Apple-supplied DIMMs?).
> >I always used to do this, but my two latest work machines (2.5 and 5
> >years old) both came with their max config of 32 GB, and I have never
> >felt a big need to upgrade this.
> Max config of 32GB? The maximum size of one DDR4 DIMM is 32GB.

So, you say, I can upgrade E-2176G based development server to
128 GB without losing bandwidth or latency?
By now, with 16GB DIMMs, it appears to operate at max rate (2666 MT/s),
but I am not sure about latency.

> Did you
> buy machines with only one DIMM slot? Or with soldered DRAM?
> >On the not unreasonable assumption that the average Apple buyer is less
> >inclined to modify their machines, I'm guessing the actual percentage of
> >RAM upgrades have been well under 1%.
> A collegue of mine had some Apple laptop with soldered RAM, and found
> that the RAM became insufficient for processing his emails. So he
> bought another laptop, this time with 64GB, which means that it was
> extra expensive, but for some reason he still chose an Apple. So
> Apple certainly profitted a lot from the non-upgradeability: Not only
> did he buy a complete new machine, he also bought it with an
> extra-large amount of RAM (at extreme prices) to avoid having to
> upgrade for this reason again.
>
> - anton
> --
> 'Anyone trying for "industrial quality" ISA should avoid undefined behavior.'
> Mitch Alsup, <c17fcd89-f024-40e7...@googlegroups.com>

Re: The vector machines of ITH Zurich

<2023Jun9.175655@mips.complang.tuwien.ac.at>

  copy mid

https://news.novabbs.org/devel/article-flat.php?id=32722&group=comp.arch#32722

  copy link   Newsgroups: comp.arch
Path: i2pn2.org!i2pn.org!eternal-september.org!news.eternal-september.org!.POSTED!not-for-mail
From: anton@mips.complang.tuwien.ac.at (Anton Ertl)
Newsgroups: comp.arch
Subject: Re: The vector machines of ITH Zurich
Date: Fri, 09 Jun 2023 15:56:55 GMT
Organization: Institut fuer Computersprachen, Technische Universitaet Wien
Lines: 22
Message-ID: <2023Jun9.175655@mips.complang.tuwien.ac.at>
References: <386698fb-72ba-435e-b99b-e251e29bb773n@googlegroups.com> <0b405880-1e5f-44eb-a2cb-a0f8099c255bn@googlegroups.com> <7ed26efe-3be6-4cf0-a097-16d98a8f37dcn@googlegroups.com> <5563d673-57fb-441c-a7f1-81878a0bfee6n@googlegroups.com> <u5qtk8$185op$1@dont-email.me> <1ca69920-e709-4aac-871e-e406d6372a54n@googlegroups.com> <u5ue1c$1r0s1$1@dont-email.me> <2023Jun9.124633@mips.complang.tuwien.ac.at> <7bb17310-321a-43ae-8181-5d1c10a30086n@googlegroups.com>
Injection-Info: dont-email.me; posting-host="de54a5ae0749f0606fc5dca736ff05eb";
logging-data="2060143"; mail-complaints-to="abuse@eternal-september.org"; posting-account="U2FsdGVkX1/DCnGvT2UlSnsN+Gve9Vha"
Cancel-Lock: sha1:17Qr1R4EN1S74f8qqNXNrXsIA9s=
X-newsreader: xrn 10.11
 by: Anton Ertl - Fri, 9 Jun 2023 15:56 UTC

Michael S <already5chosen@yahoo.com> writes:
>So, you say, I can upgrade E-2176G based development server to
>128 GB without losing bandwidth or latency?=20
>By now, with 16GB DIMMs, it appears to operate at max rate (2666 MT/s),=20
>but I am not sure about latency.

I am just saying that you can upgrade it to 128GB. However, if you
now use 4 two-sided 16GB DIMMs, I don't expect bandwidth or latency to
get worse if you change to 4 32GB DIMMs. If you have only 2 DIMMs, or
4 one-sided DIMMs, you may see worse numbers, but for a development
server I don't expect it to translate into a noticable slowdown.

We have Xeon E-2388G servers with 128GB here, and what dmidecode tells
me about one of the DIMMs is:

Speed: 3200 MT/s
Configured Memory Speed: 2933 MT/s

- anton
--
'Anyone trying for "industrial quality" ISA should avoid undefined behavior.'
Mitch Alsup, <c17fcd89-f024-40e7-a594-88a85ac10d20o@googlegroups.com>

Re: The vector machines of ITH Zurich

<u5vkpg$1v0t1$1@dont-email.me>

  copy mid

https://news.novabbs.org/devel/article-flat.php?id=32725&group=comp.arch#32725

  copy link   Newsgroups: comp.arch
Path: i2pn2.org!i2pn.org!eternal-september.org!news.eternal-september.org!.POSTED!not-for-mail
From: terje.mathisen@tmsw.no (Terje Mathisen)
Newsgroups: comp.arch
Subject: Re: The vector machines of ITH Zurich
Date: Fri, 9 Jun 2023 18:42:24 +0200
Organization: A noiseless patient Spider
Lines: 55
Message-ID: <u5vkpg$1v0t1$1@dont-email.me>
References: <386698fb-72ba-435e-b99b-e251e29bb773n@googlegroups.com>
<0b405880-1e5f-44eb-a2cb-a0f8099c255bn@googlegroups.com>
<7ed26efe-3be6-4cf0-a097-16d98a8f37dcn@googlegroups.com>
<5563d673-57fb-441c-a7f1-81878a0bfee6n@googlegroups.com>
<u5qtk8$185op$1@dont-email.me>
<1ca69920-e709-4aac-871e-e406d6372a54n@googlegroups.com>
<u5ue1c$1r0s1$1@dont-email.me> <2023Jun9.124633@mips.complang.tuwien.ac.at>
MIME-Version: 1.0
Content-Type: text/plain; charset=ISO-8859-1; format=flowed
Content-Transfer-Encoding: 8bit
Injection-Date: Fri, 9 Jun 2023 16:42:24 -0000 (UTC)
Injection-Info: dont-email.me; posting-host="84b286e865bd8de3e3641a297f510669";
logging-data="2065313"; mail-complaints-to="abuse@eternal-september.org"; posting-account="U2FsdGVkX1/kQ7Scfw3Fx1+PqJQfvD/XXF5i+FkrjhOR/u3c9NFOjQ=="
User-Agent: Mozilla/5.0 (Windows NT 10.0; Win64; x64; rv:91.0) Gecko/20100101
Firefox/91.0 SeaMonkey/2.53.16
Cancel-Lock: sha1:tUmbpsjdgMcwGOSC6GBBj8Fl5T4=
In-Reply-To: <2023Jun9.124633@mips.complang.tuwien.ac.at>
 by: Terje Mathisen - Fri, 9 Jun 2023 16:42 UTC

Anton Ertl wrote:
> Terje Mathisen <terje.mathisen@tmsw.no> writes:
>> MitchAlsup wrote:
>>> On Wednesday, June 7, 2023 at 4:42:36 PM UTC-5, Brett wrote:
>>>> With the M2 machines Apple has dumped DIMMs and brought RAM onto the CPU
>>>> carrier, quadrupling the dram bandwidth.
>>> <
>>> And makes upgrading DRAM size impossible.
>>
>> I am pretty sure that was done after getting some (automatic telemetry?)
>> statistics about how many Apple machines are ever modified by adding RAM
>> after purchase.
>
> Sure. Every time a user upgraded the RAM, that was a lost opportunity
> of a sale of a complete Apple machine. And they probably lost even
> their ample margins on the DIMMs (or have they locked the hardware
> such that it can only use Apple-supplied DIMMs?).
>
>> I always used to do this, but my two latest work machines (2.5 and 5
>> years old) both came with their max config of 32 GB, and I have never
>> felt a big need to upgrade this.
>
> Max config of 32GB? The maximum size of one DDR4 DIMM is 32GB. Did you
> buy machines with only one DIMM slot? Or with soldered DRAM?

The 5 year old had two RAM slots, each with 16 GB max.

The new machine was from a new job/new company, with much smaller
formfactor, and no real options for changing config.

Intel(R) Core(TM) i9-10885H CPU @ 2.40GHz

>
>> On the not unreasonable assumption that the average Apple buyer is less
>> inclined to modify their machines, I'm guessing the actual percentage of
>> RAM upgrades have been well under 1%.
>
> A collegue of mine had some Apple laptop with soldered RAM, and found
> that the RAM became insufficient for processing his emails. So he
> bought another laptop, this time with 64GB, which means that it was
> extra expensive, but for some reason he still chose an Apple. So
> Apple certainly profitted a lot from the non-upgradeability: Not only
> did he buy a complete new machine, he also bought it with an
> extra-large amount of RAM (at extreme prices) to avoid having to
> upgrade for this reason again.
>
> - anton
>
:-(

Terje

--
- <Terje.Mathisen at tmsw.no>
"almost all programming can be viewed as an exercise in caching"

Re: The vector machines of ITH Zurich

<OUIgM.11898$fZx2.7495@fx14.iad>

  copy mid

https://news.novabbs.org/devel/article-flat.php?id=32728&group=comp.arch#32728

  copy link   Newsgroups: comp.arch
Path: i2pn2.org!i2pn.org!usenet.blueworldhosting.com!diablo1.usenet.blueworldhosting.com!peer02.iad!feed-me.highwinds-media.com!news.highwinds-media.com!fx14.iad.POSTED!not-for-mail
X-newsreader: xrn 9.03-beta-14-64bit
Sender: scott@dragon.sl.home (Scott Lurndal)
From: scott@slp53.sl.home (Scott Lurndal)
Reply-To: slp53@pacbell.net
Subject: Re: The vector machines of ITH Zurich
Newsgroups: comp.arch
References: <386698fb-72ba-435e-b99b-e251e29bb773n@googlegroups.com> <0b405880-1e5f-44eb-a2cb-a0f8099c255bn@googlegroups.com> <7ed26efe-3be6-4cf0-a097-16d98a8f37dcn@googlegroups.com> <5563d673-57fb-441c-a7f1-81878a0bfee6n@googlegroups.com> <u5qtk8$185op$1@dont-email.me> <1ca69920-e709-4aac-871e-e406d6372a54n@googlegroups.com> <u5ue1c$1r0s1$1@dont-email.me> <2023Jun9.124633@mips.complang.tuwien.ac.at> <7bb17310-321a-43ae-8181-5d1c10a30086n@googlegroups.com> <2023Jun9.175655@mips.complang.tuwien.ac.at>
Lines: 25
Message-ID: <OUIgM.11898$fZx2.7495@fx14.iad>
X-Complaints-To: abuse@usenetserver.com
NNTP-Posting-Date: Fri, 09 Jun 2023 17:00:30 UTC
Organization: UsenetServer - www.usenetserver.com
Date: Fri, 09 Jun 2023 17:00:30 GMT
X-Received-Bytes: 2093
 by: Scott Lurndal - Fri, 9 Jun 2023 17:00 UTC

anton@mips.complang.tuwien.ac.at (Anton Ertl) writes:
>Michael S <already5chosen@yahoo.com> writes:
>>So, you say, I can upgrade E-2176G based development server to
>>128 GB without losing bandwidth or latency?=20
>>By now, with 16GB DIMMs, it appears to operate at max rate (2666 MT/s),=20
>>but I am not sure about latency.
>
>I am just saying that you can upgrade it to 128GB. However, if you
>now use 4 two-sided 16GB DIMMs, I don't expect bandwidth or latency to
>get worse if you change to 4 32GB DIMMs. If you have only 2 DIMMs, or
>4 one-sided DIMMs, you may see worse numbers, but for a development
>server I don't expect it to translate into a noticable slowdown.
>
>We have Xeon E-2388G servers with 128GB here, and what dmidecode tells
>me about one of the DIMMs is:
>
> Speed: 3200 MT/s
> Configured Memory Speed: 2933 MT/s

Our development machine has 380GB, DDR4, all at the
same speed as yours using 48 DIMMs.

$ grep DIMM /tmp/dmi.decode | wc -l
48
model name : Intel(R) Xeon(R) Gold 6246R CPU @ 3.40GHz

Pages:12
server_pubkey.txt

rocksolid light 0.9.81
clearnet tor