Rocksolid Light

Welcome to Rocksolid Light

mail  files  register  newsreader  groups  login

Message-ID:  

Numeric stability is probably not all that important when you're guessing.


devel / comp.lang.vhdl / Design and Simulation of Seven Segment Decoder

SubjectAuthor
* Design and Simulation of Seven Segment DecoderZohaib Ul Hassan
`- Design and Simulation of Seven Segment DecoderStef

1
Design and Simulation of Seven Segment Decoder

<90003e43-255a-42d1-8632-8095b4bab055n@googlegroups.com>

  copy mid

https://news.novabbs.org/devel/article-flat.php?id=92&group=comp.lang.vhdl#92

  copy link   Newsgroups: comp.lang.vhdl
X-Received: by 2002:ac8:4e54:0:b0:401:e192:fc61 with SMTP id e20-20020ac84e54000000b00401e192fc61mr8441qtw.7.1692163401957;
Tue, 15 Aug 2023 22:23:21 -0700 (PDT)
X-Received: by 2002:a63:3d0c:0:b0:563:e826:823b with SMTP id
k12-20020a633d0c000000b00563e826823bmr211472pga.6.1692163401418; Tue, 15 Aug
2023 22:23:21 -0700 (PDT)
Path: i2pn2.org!i2pn.org!weretis.net!feeder8.news.weretis.net!proxad.net!feeder1-2.proxad.net!209.85.160.216.MISMATCH!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.lang.vhdl
Date: Tue, 15 Aug 2023 22:23:20 -0700 (PDT)
Injection-Info: google-groups.googlegroups.com; posting-host=37.111.134.129; posting-account=WecHrAoAAACGpf4E0HX9UMWY1aLBpHDy
NNTP-Posting-Host: 37.111.134.129
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <90003e43-255a-42d1-8632-8095b4bab055n@googlegroups.com>
Subject: Design and Simulation of Seven Segment Decoder
From: zohaibulhassan227374@gmail.com (Zohaib Ul Hassan)
Injection-Date: Wed, 16 Aug 2023 05:23:21 +0000
Content-Type: text/plain; charset="UTF-8"
 by: Zohaib Ul Hassan - Wed, 16 Aug 2023 05:23 UTC

Design and Simulation of Seven Segment Decoder in VHDL.

Re: Design and Simulation of Seven Segment Decoder

<nnd$6ecad61b$1e7d735b@8ec00c002ca28fc2>

  copy mid

https://news.novabbs.org/devel/article-flat.php?id=93&group=comp.lang.vhdl#93

  copy link   Newsgroups: comp.lang.vhdl
Newsgroups: comp.lang.vhdl
From: me@this.is.invalid (Stef)
Subject: Re: Design and Simulation of Seven Segment Decoder
References: <90003e43-255a-42d1-8632-8095b4bab055n@googlegroups.com>
Mail-Copies-To: nobody
User-Agent: slrn/1.0.3 (Linux)
Message-ID: <nnd$6ecad61b$1e7d735b@8ec00c002ca28fc2>
Organization: Newsxs
Date: Wed, 16 Aug 2023 08:41:37 +0200
Path: i2pn2.org!i2pn.org!weretis.net!feeder8.news.weretis.net!newsreader4.netcologne.de!news.netcologne.de!peer03.ams1!peer.ams1.xlned.com!news.xlned.com!peer01.ams4!peer.am4.highwinds-media.com!news.highwinds-media.com!feed.abavia.com!abe004.abavia.com!abp002.abavia.com!news.newsxs.nl!not-for-mail
Lines: 11
Injection-Date: Wed, 16 Aug 2023 08:41:37 +0200
Injection-Info: news.newsxs.nl; mail-complaints-to="abuse@newsxs.nl"
X-Received-Bytes: 947
 by: Stef - Wed, 16 Aug 2023 06:41 UTC

On 2023-08-16 Zohaib Ul Hassan wrote in comp.lang.vhdl:
> Design and Simulation of Seven Segment Decoder in VHDL.

Nice title! Looking forward to the rest of your report.

--
Stef

Live within your income, even if you have to borrow to do so.
-Josh Billings

1
server_pubkey.txt

rocksolid light 0.9.81
clearnet tor