Rocksolid Light

Welcome to Rocksolid Light

mail  files  register  newsreader  groups  login

Message-ID:  

This dungeon is owned and operated by Frobozz Magic Co., Ltd.


devel / comp.arch / Alternative Microarchutectures - CGRAs

SubjectAuthor
* Alternative Microarchutectures - CGRAsS.Takano
+* Re: Alternative Microarchutectures - CGRAsMitchAlsup
|`* Re: Alternative Microarchutectures - CGRAsrobf...@gmail.com
| +* Re: Alternative Microarchutectures - CGRAsS.Takano
| |`* Re: Alternative Microarchutectures - CGRAsJimBrakefield
| | +* Re: Alternative Microarchutectures - CGRAsJimBrakefield
| | |+* Re: Alternative Microarchutectures - CGRAsMitchAlsup
| | ||+- Re: Alternative Microarchutectures - CGRAsScott Lurndal
| | ||`* Re: Alternative Microarchutectures - CGRAsJimBrakefield
| | || +* Re: Alternative Microarchutectures - CGRAsStephen Fuld
| | || |+* Re: Alternative Microarchutectures - CGRAsScott Lurndal
| | || ||`* Re: Alternative Microarchutectures - CGRAsS.Takano
| | || || `* Re: Alternative Microarchutectures - CGRAsMitchAlsup
| | || ||  `- Re: Alternative Microarchutectures - CGRAsS.Takano
| | || |`- Re: Alternative Microarchutectures - CGRAsS.Takano
| | || `- Re: Alternative Microarchutectures - CGRAsS.Takano
| | |`- Re: Alternative Microarchutectures - CGRAsS.Takano
| | +- Re: Alternative Microarchutectures - CGRAsS.Takano
| | `* Re: Alternative Microarchutectures - CGRAsPaul A. Clayton
| |  +* Re: Alternative Microarchutectures - CGRAsJimBrakefield
| |  |`* Re: Alternative Microarchutectures - CGRAsPaul A. Clayton
| |  | +- Re: Alternative Microarchutectures - CGRAsS.Takano
| |  | `- Re: Alternative Microarchutectures - CGRAsS.Takano
| |  `- Re: Alternative Microarchutectures - CGRAsluke.l...@gmail.com
| `- Re: Alternative Microarchutectures - CGRAsS.Takano
`* Re: Alternative Microarchutectures - CGRAsMitchAlsup
 +- Re: Alternative Microarchutectures - CGRAsS.Takano
 `- Re: Alternative Microarchutectures - CGRAsS.Takano

Pages:12
Alternative Microarchutectures - CGRAs

<57988626-38ca-4375-878d-914dc517811fn@googlegroups.com>

  copy mid

https://news.novabbs.org/devel/article-flat.php?id=33736&group=comp.arch#33736

  copy link   Newsgroups: comp.arch
X-Received: by 2002:a05:6214:b0c:b0:63d:a43:7b06 with SMTP id u12-20020a0562140b0c00b0063d0a437b06mr45925qvj.9.1692646562408;
Mon, 21 Aug 2023 12:36:02 -0700 (PDT)
X-Received: by 2002:a63:6f0c:0:b0:569:5fb8:b00 with SMTP id
k12-20020a636f0c000000b005695fb80b00mr1165525pgc.3.1692646562093; Mon, 21 Aug
2023 12:36:02 -0700 (PDT)
Path: i2pn2.org!i2pn.org!weretis.net!feeder6.news.weretis.net!1.us.feeder.erje.net!feeder.erje.net!usenet.blueworldhosting.com!diablo1.usenet.blueworldhosting.com!peer03.iad!feed-me.highwinds-media.com!news.highwinds-media.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Mon, 21 Aug 2023 12:36:01 -0700 (PDT)
Injection-Info: google-groups.googlegroups.com; posting-host=240d:1a:9b5:8e00:4493:571e:1100:d40c;
posting-account=Op2IWwoAAABwzgDNGL1IwX0KKpjIpZjd
NNTP-Posting-Host: 240d:1a:9b5:8e00:4493:571e:1100:d40c
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <57988626-38ca-4375-878d-914dc517811fn@googlegroups.com>
Subject: Alternative Microarchutectures - CGRAs
From: adaptiveprocessor@gmail.com (S.Takano)
Injection-Date: Mon, 21 Aug 2023 19:36:02 +0000
Content-Type: text/plain; charset="UTF-8"
X-Received-Bytes: 1730
 by: S.Takano - Mon, 21 Aug 2023 19:36 UTC

Hi,

I am still Ph.D. candidate at some university, but teacher under my studying will retire March next year. So I think of my giving up the getting, and concentrate on to my job (research of microarchitecture and its compiler), but not yet decide.

I have designed CGRA with SystemVerilog, core parts are finished and work on HDL simulator. Global buffers and etc are in functional testing phase. Some time I consider to make the properties be an open source, but concern about its license.

I am indeed strayed to choose my way.

*CGRA (coarse-grained reconfigurable architecture/array) can be said as FPGA replacing CLB(LUT; 1-bit processing unit) with common ALU and FPU.

-
S.Takano

Re: Alternative Microarchutectures - CGRAs

<e49b9a80-5a62-4a03-ab2d-a25f6dd7c628n@googlegroups.com>

  copy mid

https://news.novabbs.org/devel/article-flat.php?id=33869&group=comp.arch#33869

  copy link   Newsgroups: comp.arch
X-Received: by 2002:a05:620a:8010:b0:76f:1614:5776 with SMTP id ee16-20020a05620a801000b0076f16145776mr22625qkb.7.1693359801032;
Tue, 29 Aug 2023 18:43:21 -0700 (PDT)
X-Received: by 2002:a05:6a00:2d0f:b0:68a:546d:596c with SMTP id
fa15-20020a056a002d0f00b0068a546d596cmr349309pfb.5.1693359800541; Tue, 29 Aug
2023 18:43:20 -0700 (PDT)
Path: i2pn2.org!i2pn.org!usenet.blueworldhosting.com!diablo1.usenet.blueworldhosting.com!peer03.iad!feed-me.highwinds-media.com!news.highwinds-media.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Tue, 29 Aug 2023 18:43:19 -0700 (PDT)
In-Reply-To: <57988626-38ca-4375-878d-914dc517811fn@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=2600:1700:291:29f0:dfc:2616:5a86:73c8;
posting-account=H_G_JQkAAADS6onOMb-dqvUozKse7mcM
NNTP-Posting-Host: 2600:1700:291:29f0:dfc:2616:5a86:73c8
References: <57988626-38ca-4375-878d-914dc517811fn@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <e49b9a80-5a62-4a03-ab2d-a25f6dd7c628n@googlegroups.com>
Subject: Re: Alternative Microarchutectures - CGRAs
From: MitchAlsup@aol.com (MitchAlsup)
Injection-Date: Wed, 30 Aug 2023 01:43:21 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
X-Received-Bytes: 2332
 by: MitchAlsup - Wed, 30 Aug 2023 01:43 UTC

On Monday, August 21, 2023 at 2:36:04 PM UTC-5, S.Takano wrote:
> Hi,
>
> I am still Ph.D. candidate at some university, but teacher under my studying will retire March next year. So I think of my giving up the getting, and concentrate on to my job (research of microarchitecture and its compiler), but not yet decide.
>
> I have designed CGRA with SystemVerilog, core parts are finished and work on HDL simulator. Global buffers and etc are in functional testing phase. Some time I consider to make the properties be an open source, but concern about its license.
>
> I am indeed strayed to choose my way.
>
> *CGRA (coarse-grained reconfigurable architecture/array) can be said as FPGA replacing CLB(LUT; 1-bit processing unit) with common ALU and FPU.
<
What does it mean to be reconfigurable ??
<
Can you illustrate an application where CGRA is significantly better than either a vector of general purpose CPSs or several handful of GPU shader Cores ??
<
Can you annotate why it need reconfigurability ??
>
> -
> S.Takano

Re: Alternative Microarchutectures - CGRAs

<a0828f74-6919-4875-8539-f5efcd4dff41n@googlegroups.com>

  copy mid

https://news.novabbs.org/devel/article-flat.php?id=33870&group=comp.arch#33870

  copy link   Newsgroups: comp.arch
X-Received: by 2002:a05:622a:134f:b0:410:916b:f3fa with SMTP id w15-20020a05622a134f00b00410916bf3famr35731qtk.10.1693383491037;
Wed, 30 Aug 2023 01:18:11 -0700 (PDT)
X-Received: by 2002:a05:6870:b79c:b0:1c0:350a:92d5 with SMTP id
ed28-20020a056870b79c00b001c0350a92d5mr52770oab.4.1693383490721; Wed, 30 Aug
2023 01:18:10 -0700 (PDT)
Path: i2pn2.org!i2pn.org!weretis.net!feeder8.news.weretis.net!proxad.net!feeder1-2.proxad.net!209.85.160.216.MISMATCH!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Wed, 30 Aug 2023 01:18:10 -0700 (PDT)
In-Reply-To: <e49b9a80-5a62-4a03-ab2d-a25f6dd7c628n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=99.251.79.92; posting-account=QId4bgoAAABV4s50talpu-qMcPp519Eb
NNTP-Posting-Host: 99.251.79.92
References: <57988626-38ca-4375-878d-914dc517811fn@googlegroups.com> <e49b9a80-5a62-4a03-ab2d-a25f6dd7c628n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <a0828f74-6919-4875-8539-f5efcd4dff41n@googlegroups.com>
Subject: Re: Alternative Microarchutectures - CGRAs
From: robfi680@gmail.com (robf...@gmail.com)
Injection-Date: Wed, 30 Aug 2023 08:18:11 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
 by: robf...@gmail.com - Wed, 30 Aug 2023 08:18 UTC

On Tuesday, August 29, 2023 at 9:43:23 PM UTC-4, MitchAlsup wrote:
> On Monday, August 21, 2023 at 2:36:04 PM UTC-5, S.Takano wrote:
> > Hi,
> >
> > I am still Ph.D. candidate at some university, but teacher under my studying will retire March next year. So I think of my giving up the getting, and concentrate on to my job (research of microarchitecture and its compiler), but not yet decide.
> >
> > I have designed CGRA with SystemVerilog, core parts are finished and work on HDL simulator. Global buffers and etc are in functional testing phase.. Some time I consider to make the properties be an open source, but concern about its license.
> >
> > I am indeed strayed to choose my way.
> >
> > *CGRA (coarse-grained reconfigurable architecture/array) can be said as FPGA replacing CLB(LUT; 1-bit processing unit) with common ALU and FPU.
> <
Are you talking about having a sea of ALU's and FPU hardware available in an FPGA in place of or in addition to CLBs? I have sometimes wished there was simply an ALU or FPU component in the FPGA rather than having to code one myself. There are already multipliers built into FPGAs an ALU or FPU might be handy. But I would think it might be too much of a solution. For example having a fixed number of bits. But it would be cool if it could work.

OR is this source for a SystemVerilog component implemented with FPGA fabric?

Re: Alternative Microarchutectures - CGRAs

<a649c453-48c2-474c-a23c-6b25ad033002n@googlegroups.com>

  copy mid

https://news.novabbs.org/devel/article-flat.php?id=33871&group=comp.arch#33871

  copy link   Newsgroups: comp.arch
X-Received: by 2002:a05:620a:6789:b0:76d:77d2:e74e with SMTP id rr9-20020a05620a678900b0076d77d2e74emr41162qkn.2.1693387746947;
Wed, 30 Aug 2023 02:29:06 -0700 (PDT)
X-Received: by 2002:a05:6808:d51:b0:3a7:805:f419 with SMTP id
w17-20020a0568080d5100b003a70805f419mr55441oik.6.1693387746634; Wed, 30 Aug
2023 02:29:06 -0700 (PDT)
Path: i2pn2.org!i2pn.org!usenet.blueworldhosting.com!diablo1.usenet.blueworldhosting.com!peer02.iad!feed-me.highwinds-media.com!news.highwinds-media.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Wed, 30 Aug 2023 02:29:06 -0700 (PDT)
In-Reply-To: <a0828f74-6919-4875-8539-f5efcd4dff41n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=240d:1a:9b5:8e00:612f:770a:3b10:ed6f;
posting-account=Op2IWwoAAABwzgDNGL1IwX0KKpjIpZjd
NNTP-Posting-Host: 240d:1a:9b5:8e00:612f:770a:3b10:ed6f
References: <57988626-38ca-4375-878d-914dc517811fn@googlegroups.com>
<e49b9a80-5a62-4a03-ab2d-a25f6dd7c628n@googlegroups.com> <a0828f74-6919-4875-8539-f5efcd4dff41n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <a649c453-48c2-474c-a23c-6b25ad033002n@googlegroups.com>
Subject: Re: Alternative Microarchutectures - CGRAs
From: adaptiveprocessor@gmail.com (S.Takano)
Injection-Date: Wed, 30 Aug 2023 09:29:06 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
X-Received-Bytes: 5052
 by: S.Takano - Wed, 30 Aug 2023 09:29 UTC

At first, I would like to introduce an algorithm composition from softwares, next difference from others (cpu&gpu).
Algorithm can be represented by programming, and then it is compiled to a binary code (let us say bit stream). The compilation involves analyses of control-flow and data-flow. The control-flow is flow of instrctiictiins that the basice block is regarded as a graph node, nodes are connected by conditional/unconditional branch instrctioion. Flow is diceded by the instruction. Other hand, data-flow is flow of data, just like a logic circuit’s signal flow.

CPU is based on the control-flow, and breaks up the basic block into set of peices to get an instruction-level parallelism as we know a superscalar processor, a unit of handling is a thread. GPU is also based on the control-flow and it also takes the threading. One thread is copied and pasted to so many cores, and takes into account for thread-level parallelism. So sometime GPU is regarded as a limited many-core.

CGRA is a data-flow based computing, sometime called a spatial computing(and traditionals are temporal computing). It is same as FPGA at a concept level, but it has ALU/FPU (so called a coarsed-grained, and FPGA is called a fined-grained), have not a single bit processing unit. So we can see CGRA as data-flow “
graph” based execution modelthat gains data-level parallelism well. So some time classified to control-flow execution on the temporal computing for CPU&GPU, and data-flow execution on the spatial computing for FPGA/CGRA.

CGRA benefits from the reconfiguration. The reconfiguration is to change data-flow graph on a chip, it can be classified to a static reconfiguration that reconfigures only at kicking start, and a dynamic reconfiguration meaning of runtime reconfiguration. For example, FIR filter that can have multiple steps of multiply-add, the steps can be on the chips, the steps feed vector/stream data and outputs filtered data as a vector/stream. So, ideally it provides one filtered output per clock cycle because there is no overhead such as the branch instructions.

Someone seems to want change the number of steps because the number decides utilization rate for the data-flow graph of the filter. For example, 5 steps filter needs more than 5 continuous input data to keep its utilization (we can imagine one input data makes almost steps are idling). When the someone needs N-step configuration, then replicates one-step configution N times on a chip and connect them.

Another example is software having complex data hazards that makes difficult to execute on temporal computing. We can see such examples in scientific applications, a kernel of a molecular dynamics involving huge data-shuffling through arrays on memory, for example. Because CGRA is data-flow graph based execution, it simply bind the graph on the array of FPU is sufficient.

If there is some pattern in a loop part in the algorithm, but has complex data hazards, then just replicating one pattern (so can be an instance) on the chip and connecting them is sufficient, there is no data transfer through memory.

FPGA has DSP(integer multiply-add), and can configure floating-point arithmetic logic with 5-7 DSPs. But physical layout of DSPs on a chip makes difficult to increase clock frequency. And most recent FPGA has DSP array (I think it is one of “integer”-specific many-core, and introduced a legacy matters in FPGA).

I have difficult to say that my HDL(SystemVerilog HDL) coded one is CGRA, but there is no another example.

Re: Alternative Microarchutectures - CGRAs

<e5f443a4-1fa1-4cea-b66e-cd94182f7d2en@googlegroups.com>

  copy mid

https://news.novabbs.org/devel/article-flat.php?id=33872&group=comp.arch#33872

  copy link   Newsgroups: comp.arch
X-Received: by 2002:a05:620a:143:b0:76d:c9c7:dd6b with SMTP id e3-20020a05620a014300b0076dc9c7dd6bmr50161qkn.3.1693388643983;
Wed, 30 Aug 2023 02:44:03 -0700 (PDT)
X-Received: by 2002:a63:6d46:0:b0:56b:cd71:6094 with SMTP id
i67-20020a636d46000000b0056bcd716094mr269387pgc.1.1693388643424; Wed, 30 Aug
2023 02:44:03 -0700 (PDT)
Path: i2pn2.org!i2pn.org!usenet.blueworldhosting.com!diablo1.usenet.blueworldhosting.com!peer02.iad!feed-me.highwinds-media.com!news.highwinds-media.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Wed, 30 Aug 2023 02:44:02 -0700 (PDT)
In-Reply-To: <a0828f74-6919-4875-8539-f5efcd4dff41n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=240d:1a:9b5:8e00:612f:770a:3b10:ed6f;
posting-account=Op2IWwoAAABwzgDNGL1IwX0KKpjIpZjd
NNTP-Posting-Host: 240d:1a:9b5:8e00:612f:770a:3b10:ed6f
References: <57988626-38ca-4375-878d-914dc517811fn@googlegroups.com>
<e49b9a80-5a62-4a03-ab2d-a25f6dd7c628n@googlegroups.com> <a0828f74-6919-4875-8539-f5efcd4dff41n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <e5f443a4-1fa1-4cea-b66e-cd94182f7d2en@googlegroups.com>
Subject: Re: Alternative Microarchutectures - CGRAs
From: adaptiveprocessor@gmail.com (S.Takano)
Injection-Date: Wed, 30 Aug 2023 09:44:03 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
X-Received-Bytes: 2625
 by: S.Takano - Wed, 30 Aug 2023 09:44 UTC

> Are you talking about having a sea of ALU's and FPU hardware available in an FPGA in place of or in addition to CLBs? I have sometimes wished there was simply an ALU or FPU component in the FPGA rather than having to code one myself.

CGRA is the one.

>There are already multipliers built into FPGAs an ALU or FPU might be handy. But I would think it might be too much of a solution. For example having a fixed number of bits. But it would be cool if it could work.

I remember when ARM processor changed from 16(32?)-bit to 32(64)-but data word, they traded utilization/efficiency of silicon resource for execution performance. If variable bit-width ALU/FPU is supported, then such the CGRA would not have high clock frequency well, because it involves many overheads in terms of silicon resource and takes time (makes longer path length) in order to gather elements to assemble the demanded ALU/FPU.

> OR is this source for a SystemVerilog component implemented with FPGA fabric?

Yes, I developed my own CGRA that runs on functional simulation on Xilinx Vivado(xsim). Small scale size runs at over 133MHz on FPGA (in case of no pin assignment, and no FPU), I expect that it runs at 666 or 800MHz with ASIC implementation.

Re: Alternative Microarchutectures - CGRAs

<54f52887-cfcf-4fa3-b5af-0b3a7389f43an@googlegroups.com>

  copy mid

https://news.novabbs.org/devel/article-flat.php?id=33873&group=comp.arch#33873

  copy link   Newsgroups: comp.arch
X-Received: by 2002:a05:6214:18ef:b0:641:89b5:e1e8 with SMTP id ep15-20020a05621418ef00b0064189b5e1e8mr11847qvb.13.1693417365912;
Wed, 30 Aug 2023 10:42:45 -0700 (PDT)
X-Received: by 2002:a05:6870:5b32:b0:1bf:54e9:a0b1 with SMTP id
ds50-20020a0568705b3200b001bf54e9a0b1mr128358oab.0.1693417365357; Wed, 30 Aug
2023 10:42:45 -0700 (PDT)
Path: i2pn2.org!i2pn.org!weretis.net!feeder8.news.weretis.net!proxad.net!feeder1-2.proxad.net!209.85.160.216.MISMATCH!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Wed, 30 Aug 2023 10:42:45 -0700 (PDT)
In-Reply-To: <a649c453-48c2-474c-a23c-6b25ad033002n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=136.50.14.162; posting-account=AoizIQoAAADa7kQDpB0DAj2jwddxXUgl
NNTP-Posting-Host: 136.50.14.162
References: <57988626-38ca-4375-878d-914dc517811fn@googlegroups.com>
<e49b9a80-5a62-4a03-ab2d-a25f6dd7c628n@googlegroups.com> <a0828f74-6919-4875-8539-f5efcd4dff41n@googlegroups.com>
<a649c453-48c2-474c-a23c-6b25ad033002n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <54f52887-cfcf-4fa3-b5af-0b3a7389f43an@googlegroups.com>
Subject: Re: Alternative Microarchutectures - CGRAs
From: jim.brakefield@ieee.org (JimBrakefield)
Injection-Date: Wed, 30 Aug 2023 17:42:45 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
 by: JimBrakefield - Wed, 30 Aug 2023 17:42 UTC

On Wednesday, August 30, 2023 at 4:29:08 AM UTC-5, S.Takano wrote:
> At first, I would like to introduce an algorithm composition from softwares, next difference from others (cpu&gpu).
> Algorithm can be represented by programming, and then it is compiled to a binary code (let us say bit stream). The compilation involves analyses of control-flow and data-flow. The control-flow is flow of instrctiictiins that the basice block is regarded as a graph node, nodes are connected by conditional/unconditional branch instrctioion. Flow is diceded by the instruction. Other hand, data-flow is flow of data, just like a logic circuit’s signal flow.
>
> CPU is based on the control-flow, and breaks up the basic block into set of peices to get an instruction-level parallelism as we know a superscalar processor, a unit of handling is a thread. GPU is also based on the control-flow and it also takes the threading. One thread is copied and pasted to so many cores, and takes into account for thread-level parallelism. So sometime GPU is regarded as a limited many-core.
>
> CGRA is a data-flow based computing, sometime called a spatial computing(and traditionals are temporal computing). It is same as FPGA at a concept level, but it has ALU/FPU (so called a coarsed-grained, and FPGA is called a fined-grained), have not a single bit processing unit. So we can see CGRA as data-flow “
> graph” based execution modelthat gains data-level parallelism well. So some time classified to control-flow execution on the temporal computing for CPU&GPU, and data-flow execution on the spatial computing for FPGA/CGRA.
>
> CGRA benefits from the reconfiguration. The reconfiguration is to change data-flow graph on a chip, it can be classified to a static reconfiguration that reconfigures only at kicking start, and a dynamic reconfiguration meaning of runtime reconfiguration. For example, FIR filter that can have multiple steps of multiply-add, the steps can be on the chips, the steps feed vector/stream data and outputs filtered data as a vector/stream. So, ideally it provides one filtered output per clock cycle because there is no overhead such as the branch instructions.
>
> Someone seems to want change the number of steps because the number decides utilization rate for the data-flow graph of the filter. For example, 5 steps filter needs more than 5 continuous input data to keep its utilization (we can imagine one input data makes almost steps are idling). When the someone needs N-step configuration, then replicates one-step configution N times on a chip and connect them.
>
> Another example is software having complex data hazards that makes difficult to execute on temporal computing. We can see such examples in scientific applications, a kernel of a molecular dynamics involving huge data-shuffling through arrays on memory, for example. Because CGRA is data-flow graph based execution, it simply bind the graph on the array of FPU is sufficient..
>
> If there is some pattern in a loop part in the algorithm, but has complex data hazards, then just replicating one pattern (so can be an instance) on the chip and connecting them is sufficient, there is no data transfer through memory.
>
> FPGA has DSP(integer multiply-add), and can configure floating-point arithmetic logic with 5-7 DSPs. But physical layout of DSPs on a chip makes difficult to increase clock frequency. And most recent FPGA has DSP array (I think it is one of “integer”-specific many-core, and introduced a legacy matters in FPGA).
>
> I have difficult to say that my HDL(SystemVerilog HDL) coded one is CGRA, but there is no another example.

You probably want to review Charles LaForest's octavo project: http://fpgacpu.ca/octavo/
It was an attempt at maximum performance ISA in FPGA fabric.
And was a barrel processor.

However the xilinx/AMD microblaze and the Altera/intel nios-II run at similar speeds and LUT counts in their minimal configurations
without being barrel processors.

Another person in this arena is Jan Gray, https://fpga.org/ and more recently: https://github.com/grayresearch
who does hand layout of his FPGA cores for maximum speed/minimal LUT count.

It's been a while since I've looked at these works, so above should be considered "approximate".

Re: Alternative Microarchutectures - CGRAs

<587f38ac-2954-4ecc-ad0b-660b1c33ce3en@googlegroups.com>

  copy mid

https://news.novabbs.org/devel/article-flat.php?id=33874&group=comp.arch#33874

  copy link   Newsgroups: comp.arch
X-Received: by 2002:ac8:584b:0:b0:412:2510:2c7e with SMTP id h11-20020ac8584b000000b0041225102c7emr16779qth.10.1693420586462;
Wed, 30 Aug 2023 11:36:26 -0700 (PDT)
X-Received: by 2002:a05:6870:c7a8:b0:1bb:5fac:524e with SMTP id
dy40-20020a056870c7a800b001bb5fac524emr96509oab.5.1693420586206; Wed, 30 Aug
2023 11:36:26 -0700 (PDT)
Path: i2pn2.org!i2pn.org!weretis.net!feeder6.news.weretis.net!news.misty.com!border-2.nntp.ord.giganews.com!nntp.giganews.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Wed, 30 Aug 2023 11:36:25 -0700 (PDT)
In-Reply-To: <57988626-38ca-4375-878d-914dc517811fn@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=2600:1700:291:29f0:9db1:7274:ba74:d076;
posting-account=H_G_JQkAAADS6onOMb-dqvUozKse7mcM
NNTP-Posting-Host: 2600:1700:291:29f0:9db1:7274:ba74:d076
References: <57988626-38ca-4375-878d-914dc517811fn@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <587f38ac-2954-4ecc-ad0b-660b1c33ce3en@googlegroups.com>
Subject: Re: Alternative Microarchutectures - CGRAs
From: MitchAlsup@aol.com (MitchAlsup)
Injection-Date: Wed, 30 Aug 2023 18:36:26 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
Lines: 13
 by: MitchAlsup - Wed, 30 Aug 2023 18:36 UTC

On Monday, August 21, 2023 at 2:36:04 PM UTC-5, S.Takano wrote:
> I am still Ph.D. candidate at some university, but teacher under my studying will retire March next year. So I think of my giving up the getting, and concentrate on to my job (research of microarchitecture and its compiler), but not yet decide.
<
Long term, the difference is:: <in my observed opinion>::
a) one you get paid more for doing what he wants
b) other you get paid less for doing what you want
<
With (b) having the potential for a really big payoff at low probability.
<
> -
> S.Takano

Re: Alternative Microarchutectures - CGRAs

<32fbf2bd-ce7c-4850-a8cd-0e7640258c1en@googlegroups.com>

  copy mid

https://news.novabbs.org/devel/article-flat.php?id=33876&group=comp.arch#33876

  copy link   Newsgroups: comp.arch
X-Received: by 2002:a05:620a:8397:b0:76f:e36:28d8 with SMTP id pb23-20020a05620a839700b0076f0e3628d8mr29830qkn.0.1693443136191;
Wed, 30 Aug 2023 17:52:16 -0700 (PDT)
X-Received: by 2002:a05:6808:1a0e:b0:3a3:7719:b09a with SMTP id
bk14-20020a0568081a0e00b003a37719b09amr585466oib.4.1693443135885; Wed, 30 Aug
2023 17:52:15 -0700 (PDT)
Path: i2pn2.org!i2pn.org!usenet.blueworldhosting.com!diablo1.usenet.blueworldhosting.com!peer01.iad!feed-me.highwinds-media.com!news.highwinds-media.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Wed, 30 Aug 2023 17:52:15 -0700 (PDT)
In-Reply-To: <54f52887-cfcf-4fa3-b5af-0b3a7389f43an@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=136.50.14.162; posting-account=AoizIQoAAADa7kQDpB0DAj2jwddxXUgl
NNTP-Posting-Host: 136.50.14.162
References: <57988626-38ca-4375-878d-914dc517811fn@googlegroups.com>
<e49b9a80-5a62-4a03-ab2d-a25f6dd7c628n@googlegroups.com> <a0828f74-6919-4875-8539-f5efcd4dff41n@googlegroups.com>
<a649c453-48c2-474c-a23c-6b25ad033002n@googlegroups.com> <54f52887-cfcf-4fa3-b5af-0b3a7389f43an@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <32fbf2bd-ce7c-4850-a8cd-0e7640258c1en@googlegroups.com>
Subject: Re: Alternative Microarchutectures - CGRAs
From: jim.brakefield@ieee.org (JimBrakefield)
Injection-Date: Thu, 31 Aug 2023 00:52:16 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
X-Received-Bytes: 6824
 by: JimBrakefield - Thu, 31 Aug 2023 00:52 UTC

On Wednesday, August 30, 2023 at 12:42:48 PM UTC-5, JimBrakefield wrote:
> On Wednesday, August 30, 2023 at 4:29:08 AM UTC-5, S.Takano wrote:
> > At first, I would like to introduce an algorithm composition from softwares, next difference from others (cpu&gpu).
> > Algorithm can be represented by programming, and then it is compiled to a binary code (let us say bit stream). The compilation involves analyses of control-flow and data-flow. The control-flow is flow of instrctiictiins that the basice block is regarded as a graph node, nodes are connected by conditional/unconditional branch instrctioion. Flow is diceded by the instruction. Other hand, data-flow is flow of data, just like a logic circuit’s signal flow.
> >
> > CPU is based on the control-flow, and breaks up the basic block into set of peices to get an instruction-level parallelism as we know a superscalar processor, a unit of handling is a thread. GPU is also based on the control-flow and it also takes the threading. One thread is copied and pasted to so many cores, and takes into account for thread-level parallelism. So sometime GPU is regarded as a limited many-core.
> >
> > CGRA is a data-flow based computing, sometime called a spatial computing(and traditionals are temporal computing). It is same as FPGA at a concept level, but it has ALU/FPU (so called a coarsed-grained, and FPGA is called a fined-grained), have not a single bit processing unit. So we can see CGRA as data-flow “
> > graph” based execution modelthat gains data-level parallelism well. So some time classified to control-flow execution on the temporal computing for CPU&GPU, and data-flow execution on the spatial computing for FPGA/CGRA.
> >
> > CGRA benefits from the reconfiguration. The reconfiguration is to change data-flow graph on a chip, it can be classified to a static reconfiguration that reconfigures only at kicking start, and a dynamic reconfiguration meaning of runtime reconfiguration. For example, FIR filter that can have multiple steps of multiply-add, the steps can be on the chips, the steps feed vector/stream data and outputs filtered data as a vector/stream. So, ideally it provides one filtered output per clock cycle because there is no overhead such as the branch instructions.
> >
> > Someone seems to want change the number of steps because the number decides utilization rate for the data-flow graph of the filter. For example, 5 steps filter needs more than 5 continuous input data to keep its utilization (we can imagine one input data makes almost steps are idling). When the someone needs N-step configuration, then replicates one-step configution N times on a chip and connect them.
> >
> > Another example is software having complex data hazards that makes difficult to execute on temporal computing. We can see such examples in scientific applications, a kernel of a molecular dynamics involving huge data-shuffling through arrays on memory, for example. Because CGRA is data-flow graph based execution, it simply bind the graph on the array of FPU is sufficient.
> >
> > If there is some pattern in a loop part in the algorithm, but has complex data hazards, then just replicating one pattern (so can be an instance) on the chip and connecting them is sufficient, there is no data transfer through memory.
> >
> > FPGA has DSP(integer multiply-add), and can configure floating-point arithmetic logic with 5-7 DSPs. But physical layout of DSPs on a chip makes difficult to increase clock frequency. And most recent FPGA has DSP array (I think it is one of “integer”-specific many-core, and introduced a legacy matters in FPGA).
> >
> > I have difficult to say that my HDL(SystemVerilog HDL) coded one is CGRA, but there is no another example.
> You probably want to review Charles LaForest's octavo project: http://fpgacpu.ca/octavo/
> It was an attempt at maximum performance ISA in FPGA fabric.
> And was a barrel processor.
>
> However the xilinx/AMD microblaze and the Altera/intel nios-II run at similar speeds and LUT counts in their minimal configurations
> without being barrel processors.
>
> Another person in this arena is Jan Gray, https://fpga.org/ and more recently: https://github.com/grayresearch
> who does hand layout of his FPGA cores for maximum speed/minimal LUT count.
>
> It's been a while since I've looked at these works, so above should be considered "approximate".

Need to walk back a little. After a web search on "CGRA fpga" it appears to be an active research area at several prominent universities.
CGRA was active in the past, the new efforts have more powerful software tool ambitions (IMHO).
And, these new efforts include the creation of custom "FPGA" silicon.

And there appears to be overlap with:
PYNQ (python on Zynq FPGAs) and partial reconfiguration
Jason Cong's group at UCLA (trying to make programmers into FPGA designers)
Jan Gray's accelerators for RISC-V
Also? https://www.oneapi.io/wp-content/uploads/sites/74/Ronan-Keryell-Porting-SYCL-with-oneAPI-DPC-to-Xilinx-FPGA-Versal-ACAP-CGRA.pdf
"3nm devices deserve 3nm C++"

Re: Alternative Microarchutectures - CGRAs

<2c2a2cd6-7cae-451f-ab90-9fd7eadf37bdn@googlegroups.com>

  copy mid

https://news.novabbs.org/devel/article-flat.php?id=33877&group=comp.arch#33877

  copy link   Newsgroups: comp.arch
X-Received: by 2002:a05:6214:4c07:b0:64a:b19a:1174 with SMTP id qh7-20020a0562144c0700b0064ab19a1174mr32360qvb.9.1693446078186;
Wed, 30 Aug 2023 18:41:18 -0700 (PDT)
X-Received: by 2002:a05:6808:1a0c:b0:39e:de07:a6b7 with SMTP id
bk12-20020a0568081a0c00b0039ede07a6b7mr649862oib.1.1693446078008; Wed, 30 Aug
2023 18:41:18 -0700 (PDT)
Path: i2pn2.org!i2pn.org!usenet.blueworldhosting.com!diablo1.usenet.blueworldhosting.com!peer02.iad!feed-me.highwinds-media.com!news.highwinds-media.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Wed, 30 Aug 2023 18:41:17 -0700 (PDT)
In-Reply-To: <32fbf2bd-ce7c-4850-a8cd-0e7640258c1en@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=2600:1700:291:29f0:3465:37f5:b458:f4c6;
posting-account=H_G_JQkAAADS6onOMb-dqvUozKse7mcM
NNTP-Posting-Host: 2600:1700:291:29f0:3465:37f5:b458:f4c6
References: <57988626-38ca-4375-878d-914dc517811fn@googlegroups.com>
<e49b9a80-5a62-4a03-ab2d-a25f6dd7c628n@googlegroups.com> <a0828f74-6919-4875-8539-f5efcd4dff41n@googlegroups.com>
<a649c453-48c2-474c-a23c-6b25ad033002n@googlegroups.com> <54f52887-cfcf-4fa3-b5af-0b3a7389f43an@googlegroups.com>
<32fbf2bd-ce7c-4850-a8cd-0e7640258c1en@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <2c2a2cd6-7cae-451f-ab90-9fd7eadf37bdn@googlegroups.com>
Subject: Re: Alternative Microarchutectures - CGRAs
From: MitchAlsup@aol.com (MitchAlsup)
Injection-Date: Thu, 31 Aug 2023 01:41:18 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
X-Received-Bytes: 1834
 by: MitchAlsup - Thu, 31 Aug 2023 01:41 UTC

On Wednesday, August 30, 2023 at 7:52:18 PM UTC-5, JimBrakefield wrote:

> "3nm devices deserve 3nm C++"
<
Is that the <relatively slim> C++ of 1989 or the <relatively bloated> C++ of today ??
<
Do you really want silicon to be {constructing and destructing} {transistors and wires} by itself ??
<

Re: Alternative Microarchutectures - CGRAs

<sv1IM.472119$xMqa.162344@fx12.iad>

  copy mid

https://news.novabbs.org/devel/article-flat.php?id=33878&group=comp.arch#33878

  copy link   Newsgroups: comp.arch
Path: i2pn2.org!i2pn.org!usenet.blueworldhosting.com!diablo1.usenet.blueworldhosting.com!peer03.iad!feed-me.highwinds-media.com!news.highwinds-media.com!fx12.iad.POSTED!not-for-mail
X-newsreader: xrn 9.03-beta-14-64bit
Sender: scott@dragon.sl.home (Scott Lurndal)
From: scott@slp53.sl.home (Scott Lurndal)
Reply-To: slp53@pacbell.net
Subject: Re: Alternative Microarchutectures - CGRAs
Newsgroups: comp.arch
References: <57988626-38ca-4375-878d-914dc517811fn@googlegroups.com> <e49b9a80-5a62-4a03-ab2d-a25f6dd7c628n@googlegroups.com> <a0828f74-6919-4875-8539-f5efcd4dff41n@googlegroups.com> <a649c453-48c2-474c-a23c-6b25ad033002n@googlegroups.com> <54f52887-cfcf-4fa3-b5af-0b3a7389f43an@googlegroups.com> <32fbf2bd-ce7c-4850-a8cd-0e7640258c1en@googlegroups.com> <2c2a2cd6-7cae-451f-ab90-9fd7eadf37bdn@googlegroups.com>
Lines: 12
Message-ID: <sv1IM.472119$xMqa.162344@fx12.iad>
X-Complaints-To: abuse@usenetserver.com
NNTP-Posting-Date: Thu, 31 Aug 2023 14:31:52 UTC
Organization: UsenetServer - www.usenetserver.com
Date: Thu, 31 Aug 2023 14:31:52 GMT
X-Received-Bytes: 1297
 by: Scott Lurndal - Thu, 31 Aug 2023 14:31 UTC

MitchAlsup <MitchAlsup@aol.com> writes:
>On Wednesday, August 30, 2023 at 7:52:18=E2=80=AFPM UTC-5, JimBrakefield wr=
>ote:
>
>> "3nm devices deserve 3nm C++"
><
>Is that the <relatively slim> C++ of 1989 or the <relatively bloated> C++ o=
>f today ??

FWIW, one can still program in C++ using only the features of C++2.1. The
bloat is optional.

Re: Alternative Microarchutectures - CGRAs

<38292b05-b4e0-48a3-9a7c-130976cbbf3en@googlegroups.com>

  copy mid

https://news.novabbs.org/devel/article-flat.php?id=33879&group=comp.arch#33879

  copy link   Newsgroups: comp.arch
X-Received: by 2002:a05:620a:8f88:b0:76f:52f:3f86 with SMTP id ri8-20020a05620a8f8800b0076f052f3f86mr59474qkn.9.1693493323627;
Thu, 31 Aug 2023 07:48:43 -0700 (PDT)
X-Received: by 2002:a05:6a00:2d90:b0:68a:6d26:5c5f with SMTP id
fb16-20020a056a002d9000b0068a6d265c5fmr515082pfb.0.1693493323248; Thu, 31 Aug
2023 07:48:43 -0700 (PDT)
Path: i2pn2.org!i2pn.org!weretis.net!feeder6.news.weretis.net!news.misty.com!border-2.nntp.ord.giganews.com!nntp.giganews.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Thu, 31 Aug 2023 07:48:42 -0700 (PDT)
In-Reply-To: <2c2a2cd6-7cae-451f-ab90-9fd7eadf37bdn@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=136.50.14.162; posting-account=AoizIQoAAADa7kQDpB0DAj2jwddxXUgl
NNTP-Posting-Host: 136.50.14.162
References: <57988626-38ca-4375-878d-914dc517811fn@googlegroups.com>
<e49b9a80-5a62-4a03-ab2d-a25f6dd7c628n@googlegroups.com> <a0828f74-6919-4875-8539-f5efcd4dff41n@googlegroups.com>
<a649c453-48c2-474c-a23c-6b25ad033002n@googlegroups.com> <54f52887-cfcf-4fa3-b5af-0b3a7389f43an@googlegroups.com>
<32fbf2bd-ce7c-4850-a8cd-0e7640258c1en@googlegroups.com> <2c2a2cd6-7cae-451f-ab90-9fd7eadf37bdn@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <38292b05-b4e0-48a3-9a7c-130976cbbf3en@googlegroups.com>
Subject: Re: Alternative Microarchutectures - CGRAs
From: jim.brakefield@ieee.org (JimBrakefield)
Injection-Date: Thu, 31 Aug 2023 14:48:43 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
Lines: 15
 by: JimBrakefield - Thu, 31 Aug 2023 14:48 UTC

On Wednesday, August 30, 2023 at 8:41:20 PM UTC-5, MitchAlsup wrote:
> On Wednesday, August 30, 2023 at 7:52:18 PM UTC-5, JimBrakefield wrote:
>
> > "3nm devices deserve 3nm C++"
> <
> Is that the <relatively slim> C++ of 1989 or the <relatively bloated> C++ of today ??
> <
> Do you really want silicon to be {constructing and destructing} {transistors and wires} by itself ??
> <

At the rate things are going, one can tape out an ASIC faster than place and route into an FPGA.

Re: Alternative Microarchutectures - CGRAs

<ucqc8f$3b3p4$2@dont-email.me>

  copy mid

https://news.novabbs.org/devel/article-flat.php?id=33881&group=comp.arch#33881

  copy link   Newsgroups: comp.arch
Path: i2pn2.org!i2pn.org!eternal-september.org!news.eternal-september.org!.POSTED!not-for-mail
From: sfuld@alumni.cmu.edu.invalid (Stephen Fuld)
Newsgroups: comp.arch
Subject: Re: Alternative Microarchutectures - CGRAs
Date: Thu, 31 Aug 2023 08:39:59 -0700
Organization: A noiseless patient Spider
Lines: 21
Message-ID: <ucqc8f$3b3p4$2@dont-email.me>
References: <57988626-38ca-4375-878d-914dc517811fn@googlegroups.com>
<e49b9a80-5a62-4a03-ab2d-a25f6dd7c628n@googlegroups.com>
<a0828f74-6919-4875-8539-f5efcd4dff41n@googlegroups.com>
<a649c453-48c2-474c-a23c-6b25ad033002n@googlegroups.com>
<54f52887-cfcf-4fa3-b5af-0b3a7389f43an@googlegroups.com>
<32fbf2bd-ce7c-4850-a8cd-0e7640258c1en@googlegroups.com>
<2c2a2cd6-7cae-451f-ab90-9fd7eadf37bdn@googlegroups.com>
<38292b05-b4e0-48a3-9a7c-130976cbbf3en@googlegroups.com>
MIME-Version: 1.0
Content-Type: text/plain; charset=UTF-8; format=flowed
Content-Transfer-Encoding: 8bit
Injection-Date: Thu, 31 Aug 2023 15:39:59 -0000 (UTC)
Injection-Info: dont-email.me; posting-host="e53acb899b7c0efb0e9d2ca17b18c58c";
logging-data="3510052"; mail-complaints-to="abuse@eternal-september.org"; posting-account="U2FsdGVkX19odk/1sQ5fBC/kO6b0JZIpG+Vfp3sDC64="
User-Agent: Mozilla Thunderbird
Cancel-Lock: sha1:YH3/E/SGeybO70mkz0ZaldFBmbg=
In-Reply-To: <38292b05-b4e0-48a3-9a7c-130976cbbf3en@googlegroups.com>
Content-Language: en-US
 by: Stephen Fuld - Thu, 31 Aug 2023 15:39 UTC

On 8/31/2023 7:48 AM, JimBrakefield wrote:
> On Wednesday, August 30, 2023 at 8:41:20 PM UTC-5, MitchAlsup wrote:
>> On Wednesday, August 30, 2023 at 7:52:18 PM UTC-5, JimBrakefield wrote:
>>
>>> "3nm devices deserve 3nm C++"
>> <
>> Is that the <relatively slim> C++ of 1989 or the <relatively bloated> C++ of today ??
>> <
>> Do you really want silicon to be {constructing and destructing} {transistors and wires} by itself ??
>> <
>
> At the rate things are going, one can tape out an ASIC faster than place and route into an FPGA.

But even if that is true, the time (and the cost) from tape out/place
and route to getting silicon to test is >>> for ASIC than for an FPGA.

--
- Stephen Fuld
(e-mail address disguised to prevent spam)

Re: Alternative Microarchutectures - CGRAs

<CT2IM.854599$TPw2.211935@fx17.iad>

  copy mid

https://news.novabbs.org/devel/article-flat.php?id=33882&group=comp.arch#33882

  copy link   Newsgroups: comp.arch
Path: i2pn2.org!i2pn.org!usenet.blueworldhosting.com!diablo1.usenet.blueworldhosting.com!peer02.iad!feed-me.highwinds-media.com!news.highwinds-media.com!fx17.iad.POSTED!not-for-mail
X-newsreader: xrn 9.03-beta-14-64bit
Sender: scott@dragon.sl.home (Scott Lurndal)
From: scott@slp53.sl.home (Scott Lurndal)
Reply-To: slp53@pacbell.net
Subject: Re: Alternative Microarchutectures - CGRAs
Newsgroups: comp.arch
References: <57988626-38ca-4375-878d-914dc517811fn@googlegroups.com> <e49b9a80-5a62-4a03-ab2d-a25f6dd7c628n@googlegroups.com> <a0828f74-6919-4875-8539-f5efcd4dff41n@googlegroups.com> <a649c453-48c2-474c-a23c-6b25ad033002n@googlegroups.com> <54f52887-cfcf-4fa3-b5af-0b3a7389f43an@googlegroups.com> <32fbf2bd-ce7c-4850-a8cd-0e7640258c1en@googlegroups.com> <2c2a2cd6-7cae-451f-ab90-9fd7eadf37bdn@googlegroups.com> <38292b05-b4e0-48a3-9a7c-130976cbbf3en@googlegroups.com> <ucqc8f$3b3p4$2@dont-email.me>
Lines: 16
Message-ID: <CT2IM.854599$TPw2.211935@fx17.iad>
X-Complaints-To: abuse@usenetserver.com
NNTP-Posting-Date: Thu, 31 Aug 2023 16:05:54 UTC
Organization: UsenetServer - www.usenetserver.com
Date: Thu, 31 Aug 2023 16:05:54 GMT
X-Received-Bytes: 1767
 by: Scott Lurndal - Thu, 31 Aug 2023 16:05 UTC

Stephen Fuld <sfuld@alumni.cmu.edu.invalid> writes:
>On 8/31/2023 7:48 AM, JimBrakefield wrote:
>> On Wednesday, August 30, 2023 at 8:41:20 PM UTC-5, MitchAlsup wrote:
>>> On Wednesday, August 30, 2023 at 7:52:18 PM UTC-5, JimBrakefield wrote:
>>>
>>>> "3nm devices deserve 3nm C++"
>>> <
>>> Is that the <relatively slim> C++ of 1989 or the <relatively bloated> C++ of today ??
>>> <
>>> Do you really want silicon to be {constructing and destructing} {transistors and wires} by itself ??
>>> <
>>
>> At the rate things are going, one can tape out an ASIC faster than place and route into an FPGA.

A mask set for 7nm runs about USD10,000,000. A mask set for 3nm is USD40,000,000. Best
get it right the first time.

Re: Alternative Microarchutectures - CGRAs

<62b55306-b0e0-4b07-b4ce-d169440e51bcn@googlegroups.com>

  copy mid

https://news.novabbs.org/devel/article-flat.php?id=33887&group=comp.arch#33887

  copy link   Newsgroups: comp.arch
X-Received: by 2002:ac8:5b42:0:b0:410:966f:d61f with SMTP id n2-20020ac85b42000000b00410966fd61fmr114550qtw.7.1693618376796;
Fri, 01 Sep 2023 18:32:56 -0700 (PDT)
X-Received: by 2002:a05:6a00:1401:b0:68b:daf4:2116 with SMTP id
l1-20020a056a00140100b0068bdaf42116mr1658049pfu.4.1693618376085; Fri, 01 Sep
2023 18:32:56 -0700 (PDT)
Path: i2pn2.org!i2pn.org!usenet.goja.nl.eu.org!3.eu.feeder.erje.net!feeder.erje.net!proxad.net!feeder1-2.proxad.net!209.85.160.216.MISMATCH!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Fri, 1 Sep 2023 18:32:55 -0700 (PDT)
In-Reply-To: <54f52887-cfcf-4fa3-b5af-0b3a7389f43an@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=183.180.74.64; posting-account=Op2IWwoAAABwzgDNGL1IwX0KKpjIpZjd
NNTP-Posting-Host: 183.180.74.64
References: <57988626-38ca-4375-878d-914dc517811fn@googlegroups.com>
<e49b9a80-5a62-4a03-ab2d-a25f6dd7c628n@googlegroups.com> <a0828f74-6919-4875-8539-f5efcd4dff41n@googlegroups.com>
<a649c453-48c2-474c-a23c-6b25ad033002n@googlegroups.com> <54f52887-cfcf-4fa3-b5af-0b3a7389f43an@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <62b55306-b0e0-4b07-b4ce-d169440e51bcn@googlegroups.com>
Subject: Re: Alternative Microarchutectures - CGRAs
From: adaptiveprocessor@gmail.com (S.Takano)
Injection-Date: Sat, 02 Sep 2023 01:32:56 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
 by: S.Takano - Sat, 2 Sep 2023 01:32 UTC

On Thursday, August 31, 2023 at 2:42:48 AM UTC+9, JimBrakefield wrote:
> You probably want to review Charles LaForest's octavo project: http://fpgacpu.ca/octavo/
> It was an attempt at maximum performance ISA in FPGA fabric.
> And was a barrel processor.
>
> However the xilinx/AMD microblaze and the Altera/intel nios-II run at similar speeds and LUT counts in their minimal configurations
> without being barrel processors.
>
> Another person in this arena is Jan Gray, https://fpga.org/ and more recently: https://github.com/grayresearch
> who does hand layout of his FPGA cores for maximum speed/minimal LUT count.
>
> It's been a while since I've looked at these works, so above should be considered "approximate".

Jim-san,

Thank you for your advices, I will check these web sites after posting comments here.

Regads,
S.Takano

Re: Alternative Microarchutectures - CGRAs

<7fb7bb4d-b265-4246-a21c-08b9e085eaf7n@googlegroups.com>

  copy mid

https://news.novabbs.org/devel/article-flat.php?id=33888&group=comp.arch#33888

  copy link   Newsgroups: comp.arch
X-Received: by 2002:a05:6214:5087:b0:649:7b86:8aaa with SMTP id kk7-20020a056214508700b006497b868aaamr200330qvb.0.1693619110076;
Fri, 01 Sep 2023 18:45:10 -0700 (PDT)
X-Received: by 2002:a05:6a00:218e:b0:68b:f529:a340 with SMTP id
h14-20020a056a00218e00b0068bf529a340mr1656402pfi.4.1693619109765; Fri, 01 Sep
2023 18:45:09 -0700 (PDT)
Path: i2pn2.org!i2pn.org!weretis.net!feeder8.news.weretis.net!proxad.net!feeder1-2.proxad.net!209.85.160.216.MISMATCH!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Fri, 1 Sep 2023 18:45:09 -0700 (PDT)
In-Reply-To: <32fbf2bd-ce7c-4850-a8cd-0e7640258c1en@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=183.180.74.64; posting-account=Op2IWwoAAABwzgDNGL1IwX0KKpjIpZjd
NNTP-Posting-Host: 183.180.74.64
References: <57988626-38ca-4375-878d-914dc517811fn@googlegroups.com>
<e49b9a80-5a62-4a03-ab2d-a25f6dd7c628n@googlegroups.com> <a0828f74-6919-4875-8539-f5efcd4dff41n@googlegroups.com>
<a649c453-48c2-474c-a23c-6b25ad033002n@googlegroups.com> <54f52887-cfcf-4fa3-b5af-0b3a7389f43an@googlegroups.com>
<32fbf2bd-ce7c-4850-a8cd-0e7640258c1en@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <7fb7bb4d-b265-4246-a21c-08b9e085eaf7n@googlegroups.com>
Subject: Re: Alternative Microarchutectures - CGRAs
From: adaptiveprocessor@gmail.com (S.Takano)
Injection-Date: Sat, 02 Sep 2023 01:45:10 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
 by: S.Takano - Sat, 2 Sep 2023 01:45 UTC

On Thursday, August 31, 2023 at 9:52:18 AM UTC+9, JimBrakefield wrote:
> CGRA was active in the past, the new efforts have more powerful software tool ambitions (IMHO).
> And, these new efforts include the creation of custom "FPGA" silicon.

Right, CGRA research have started in 90s, after released of FPGAs.
I was a watcher of FPGA/FCCM/FPL conferences (major conferences for FPGA/CGRA in the world), and I did research presentation at the FPL when I was graduate student in 90s. My hobby 2 papers are accepted to ACM Transactions on Reconfigurable Technology and Systems (TRETS). But I have stopped the watching since 2015.

> And there appears to be overlap with:
> PYNQ (python on Zynq FPGAs) and partial reconfiguration
> Jason Cong's group at UCLA (trying to make programmers into FPGA designers)

I have Pynq Z1 and Z2 borads (these are reasonable price for hobby) and connected to Cong-san. Pynq system supports an "offloading" task by python program to accelerator in FPGA part in zynq chip, and ARM core can control the part. This is very nice concept (reseached since in 2010).

> Jan Gray's accelerators for RISC-V
> Also? https://www.oneapi.io/wp-content/uploads/sites/74/Ronan-Keryell-Porting-SYCL-with-oneAPI-DPC-to-Xilinx-FPGA-Versal-ACAP-CGRA.pdf
> "3nm devices deserve 3nm C++"

Thank you for info!

Regards,
S.Takano

Re: Alternative Microarchutectures - CGRAs

<8f10072b-bcd5-41e7-b52c-6fdb89614f05n@googlegroups.com>

  copy mid

https://news.novabbs.org/devel/article-flat.php?id=33889&group=comp.arch#33889

  copy link   Newsgroups: comp.arch
X-Received: by 2002:ad4:5891:0:b0:64f:3e89:5060 with SMTP id dz17-20020ad45891000000b0064f3e895060mr96976qvb.1.1693619252951;
Fri, 01 Sep 2023 18:47:32 -0700 (PDT)
X-Received: by 2002:a17:902:ced2:b0:1b8:95fc:d0f with SMTP id
d18-20020a170902ced200b001b895fc0d0fmr1439024plg.7.1693619252758; Fri, 01 Sep
2023 18:47:32 -0700 (PDT)
Path: i2pn2.org!i2pn.org!news.niel.me!glou.org!news.glou.org!fdn.fr!proxad.net!feeder1-2.proxad.net!209.85.160.216.MISMATCH!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Fri, 1 Sep 2023 18:47:32 -0700 (PDT)
In-Reply-To: <587f38ac-2954-4ecc-ad0b-660b1c33ce3en@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=183.180.74.64; posting-account=Op2IWwoAAABwzgDNGL1IwX0KKpjIpZjd
NNTP-Posting-Host: 183.180.74.64
References: <57988626-38ca-4375-878d-914dc517811fn@googlegroups.com> <587f38ac-2954-4ecc-ad0b-660b1c33ce3en@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <8f10072b-bcd5-41e7-b52c-6fdb89614f05n@googlegroups.com>
Subject: Re: Alternative Microarchutectures - CGRAs
From: adaptiveprocessor@gmail.com (S.Takano)
Injection-Date: Sat, 02 Sep 2023 01:47:32 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
 by: S.Takano - Sat, 2 Sep 2023 01:47 UTC

On Thursday, August 31, 2023 at 3:36:28 AM UTC+9, MitchAlsup wrote:
> Long term, the difference is:: <in my observed opinion>::
> a) one you get paid more for doing what he wants
> b) other you get paid less for doing what you want
> With (b) having the potential for a really big payoff at low probability.

I appriciate your advice, Mitch-san, absolutely yes. I can challange getting Ph.D.

Re: Alternative Microarchutectures - CGRAs

<93bc1d49-d945-4386-8ec8-d681eb05cf56n@googlegroups.com>

  copy mid

https://news.novabbs.org/devel/article-flat.php?id=33890&group=comp.arch#33890

  copy link   Newsgroups: comp.arch
X-Received: by 2002:ad4:45a1:0:b0:653:5863:c839 with SMTP id y1-20020ad445a1000000b006535863c839mr79558qvu.0.1693619743613;
Fri, 01 Sep 2023 18:55:43 -0700 (PDT)
X-Received: by 2002:a63:3dc4:0:b0:56a:36ac:3234 with SMTP id
k187-20020a633dc4000000b0056a36ac3234mr902431pga.1.1693619743414; Fri, 01 Sep
2023 18:55:43 -0700 (PDT)
Path: i2pn2.org!i2pn.org!weretis.net!feeder8.news.weretis.net!proxad.net!feeder1-2.proxad.net!209.85.160.216.MISMATCH!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Fri, 1 Sep 2023 18:55:42 -0700 (PDT)
In-Reply-To: <587f38ac-2954-4ecc-ad0b-660b1c33ce3en@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=183.180.74.64; posting-account=Op2IWwoAAABwzgDNGL1IwX0KKpjIpZjd
NNTP-Posting-Host: 183.180.74.64
References: <57988626-38ca-4375-878d-914dc517811fn@googlegroups.com> <587f38ac-2954-4ecc-ad0b-660b1c33ce3en@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <93bc1d49-d945-4386-8ec8-d681eb05cf56n@googlegroups.com>
Subject: Re: Alternative Microarchutectures - CGRAs
From: adaptiveprocessor@gmail.com (S.Takano)
Injection-Date: Sat, 02 Sep 2023 01:55:43 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
 by: S.Takano - Sat, 2 Sep 2023 01:55 UTC

On Thursday, August 31, 2023 at 3:36:28 AM UTC+9, MitchAlsup wrote:
> Long term, the difference is:: <in my observed opinion>::
> a) one you get paid more for doing what he wants
> b) other you get paid less for doing what you want
> With (b) having the potential for a really big payoff at low probability.

I appriciate your advice, Mitch-san, absolutely yes. I can challange getting Ph.D. again if I still getting enough salary and current company has a payment support progam. (But there is a "student programs" for buying items (both of software and hardware, I bought an FPGA board with discount), this is good point for staying as a student.)

I will concider whether release my HDL code as an open-source hardware or not. And research at company is a compiler technology focusing on CGRAs, I had a plan to combine my CGRA hardware (student) and CGRA compiler, to establish a system.

Best,
S.Takano

Re: Alternative Microarchutectures - CGRAs

<ad70edee-6174-41cf-930c-8b7886ab23ccn@googlegroups.com>

  copy mid

https://news.novabbs.org/devel/article-flat.php?id=33891&group=comp.arch#33891

  copy link   Newsgroups: comp.arch
X-Received: by 2002:a05:6214:4c07:b0:64a:24a4:3b9b with SMTP id qh7-20020a0562144c0700b0064a24a43b9bmr83897qvb.13.1693620048694;
Fri, 01 Sep 2023 19:00:48 -0700 (PDT)
X-Received: by 2002:a63:515c:0:b0:564:3bb7:b5a2 with SMTP id
r28-20020a63515c000000b005643bb7b5a2mr939010pgl.0.1693620048435; Fri, 01 Sep
2023 19:00:48 -0700 (PDT)
Path: i2pn2.org!i2pn.org!usenet.goja.nl.eu.org!3.eu.feeder.erje.net!feeder.erje.net!proxad.net!feeder1-2.proxad.net!209.85.160.216.MISMATCH!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Fri, 1 Sep 2023 19:00:47 -0700 (PDT)
In-Reply-To: <38292b05-b4e0-48a3-9a7c-130976cbbf3en@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=183.180.74.64; posting-account=Op2IWwoAAABwzgDNGL1IwX0KKpjIpZjd
NNTP-Posting-Host: 183.180.74.64
References: <57988626-38ca-4375-878d-914dc517811fn@googlegroups.com>
<e49b9a80-5a62-4a03-ab2d-a25f6dd7c628n@googlegroups.com> <a0828f74-6919-4875-8539-f5efcd4dff41n@googlegroups.com>
<a649c453-48c2-474c-a23c-6b25ad033002n@googlegroups.com> <54f52887-cfcf-4fa3-b5af-0b3a7389f43an@googlegroups.com>
<32fbf2bd-ce7c-4850-a8cd-0e7640258c1en@googlegroups.com> <2c2a2cd6-7cae-451f-ab90-9fd7eadf37bdn@googlegroups.com>
<38292b05-b4e0-48a3-9a7c-130976cbbf3en@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <ad70edee-6174-41cf-930c-8b7886ab23ccn@googlegroups.com>
Subject: Re: Alternative Microarchutectures - CGRAs
From: adaptiveprocessor@gmail.com (S.Takano)
Injection-Date: Sat, 02 Sep 2023 02:00:48 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
 by: S.Takano - Sat, 2 Sep 2023 02:00 UTC

On Thursday, August 31, 2023 at 11:48:45 PM UTC+9, JimBrakefield wrote:
> At the rate things are going, one can tape out an ASIC faster than place and route into an FPGA.

In general, logic circuit configured on the FPGA is 3-4 older ASIC equivalent (in terms of a logic gate count), and typycal clock freq of the configured logic is 100-200MHz.

Best,
S.Takano

Re: Alternative Microarchutectures - CGRAs

<22c3aa60-3e69-466d-94c5-2ed77bdcfe2fn@googlegroups.com>

  copy mid

https://news.novabbs.org/devel/article-flat.php?id=33892&group=comp.arch#33892

  copy link   Newsgroups: comp.arch
X-Received: by 2002:a05:622a:1a9b:b0:40f:e0dd:8050 with SMTP id s27-20020a05622a1a9b00b0040fe0dd8050mr143385qtc.5.1693620376924;
Fri, 01 Sep 2023 19:06:16 -0700 (PDT)
X-Received: by 2002:a17:902:f211:b0:1bb:e7ce:17d9 with SMTP id
m17-20020a170902f21100b001bbe7ce17d9mr1205517plc.6.1693620376689; Fri, 01 Sep
2023 19:06:16 -0700 (PDT)
Path: i2pn2.org!i2pn.org!weretis.net!feeder8.news.weretis.net!proxad.net!feeder1-2.proxad.net!209.85.160.216.MISMATCH!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Fri, 1 Sep 2023 19:06:16 -0700 (PDT)
In-Reply-To: <ucqc8f$3b3p4$2@dont-email.me>
Injection-Info: google-groups.googlegroups.com; posting-host=183.180.74.64; posting-account=Op2IWwoAAABwzgDNGL1IwX0KKpjIpZjd
NNTP-Posting-Host: 183.180.74.64
References: <57988626-38ca-4375-878d-914dc517811fn@googlegroups.com>
<e49b9a80-5a62-4a03-ab2d-a25f6dd7c628n@googlegroups.com> <a0828f74-6919-4875-8539-f5efcd4dff41n@googlegroups.com>
<a649c453-48c2-474c-a23c-6b25ad033002n@googlegroups.com> <54f52887-cfcf-4fa3-b5af-0b3a7389f43an@googlegroups.com>
<32fbf2bd-ce7c-4850-a8cd-0e7640258c1en@googlegroups.com> <2c2a2cd6-7cae-451f-ab90-9fd7eadf37bdn@googlegroups.com>
<38292b05-b4e0-48a3-9a7c-130976cbbf3en@googlegroups.com> <ucqc8f$3b3p4$2@dont-email.me>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <22c3aa60-3e69-466d-94c5-2ed77bdcfe2fn@googlegroups.com>
Subject: Re: Alternative Microarchutectures - CGRAs
From: adaptiveprocessor@gmail.com (S.Takano)
Injection-Date: Sat, 02 Sep 2023 02:06:16 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
 by: S.Takano - Sat, 2 Sep 2023 02:06 UTC

On Friday, September 1, 2023 at 12:40:03 AM UTC+9, Stephen Fuld wrote:
> > At the rate things are going, one can tape out an ASIC faster than place and route into an FPGA.
> But even if that is true, the time (and the cost) from tape out/place
> and route to getting silicon to test is >>> for ASIC than for an FPGA.

In 90s, Xilinx has claimed that FPGAs have benefit of a time-to-market (early releasing), so a base communication equipments for a cell phones take FPGAs (updating user logic circuit is possible by the reconfiguration after releasing the system).

Best,
S.Takano

Re: Alternative Microarchutectures - CGRAs

<49d75976-4cce-4268-a1e7-ca61a5f688cen@googlegroups.com>

  copy mid

https://news.novabbs.org/devel/article-flat.php?id=33893&group=comp.arch#33893

  copy link   Newsgroups: comp.arch
X-Received: by 2002:ad4:4e68:0:b0:649:3fe5:70b0 with SMTP id ec8-20020ad44e68000000b006493fe570b0mr98097qvb.11.1693620683920;
Fri, 01 Sep 2023 19:11:23 -0700 (PDT)
X-Received: by 2002:a17:903:2341:b0:1c1:fbec:bc36 with SMTP id
c1-20020a170903234100b001c1fbecbc36mr1374436plh.5.1693620683659; Fri, 01 Sep
2023 19:11:23 -0700 (PDT)
Path: i2pn2.org!i2pn.org!weretis.net!feeder8.news.weretis.net!proxad.net!feeder1-2.proxad.net!209.85.160.216.MISMATCH!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Fri, 1 Sep 2023 19:11:23 -0700 (PDT)
In-Reply-To: <CT2IM.854599$TPw2.211935@fx17.iad>
Injection-Info: google-groups.googlegroups.com; posting-host=183.180.74.64; posting-account=Op2IWwoAAABwzgDNGL1IwX0KKpjIpZjd
NNTP-Posting-Host: 183.180.74.64
References: <57988626-38ca-4375-878d-914dc517811fn@googlegroups.com>
<e49b9a80-5a62-4a03-ab2d-a25f6dd7c628n@googlegroups.com> <a0828f74-6919-4875-8539-f5efcd4dff41n@googlegroups.com>
<a649c453-48c2-474c-a23c-6b25ad033002n@googlegroups.com> <54f52887-cfcf-4fa3-b5af-0b3a7389f43an@googlegroups.com>
<32fbf2bd-ce7c-4850-a8cd-0e7640258c1en@googlegroups.com> <2c2a2cd6-7cae-451f-ab90-9fd7eadf37bdn@googlegroups.com>
<38292b05-b4e0-48a3-9a7c-130976cbbf3en@googlegroups.com> <ucqc8f$3b3p4$2@dont-email.me>
<CT2IM.854599$TPw2.211935@fx17.iad>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <49d75976-4cce-4268-a1e7-ca61a5f688cen@googlegroups.com>
Subject: Re: Alternative Microarchutectures - CGRAs
From: adaptiveprocessor@gmail.com (S.Takano)
Injection-Date: Sat, 02 Sep 2023 02:11:23 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
 by: S.Takano - Sat, 2 Sep 2023 02:11 UTC

On Friday, September 1, 2023 at 1:05:58 AM UTC+9, Scott Lurndal wrote:
> >> At the rate things are going, one can tape out an ASIC faster than place and route into an FPGA.
> A mask set for 7nm runs about USD10,000,000. A mask set for 3nm is USD40,000,000. Best
> get it right the first time.

System perspective, a part of major cost is software side (1.25x or more) to make system in case of ASIC. And ASIC takes longer verification time (major part of logic circuit development in terms of time).

Best,
S.Takano

Re: Alternative Microarchutectures - CGRAs

<3f7f9b5e-f2ec-46f7-8f38-bf219d16b3d5n@googlegroups.com>

  copy mid

https://news.novabbs.org/devel/article-flat.php?id=33894&group=comp.arch#33894

  copy link   Newsgroups: comp.arch
X-Received: by 2002:a05:6214:b84:b0:63f:7a89:d911 with SMTP id fe4-20020a0562140b8400b0063f7a89d911mr149537qvb.11.1693687737611;
Sat, 02 Sep 2023 13:48:57 -0700 (PDT)
X-Received: by 2002:aa7:88c6:0:b0:68a:61fb:801c with SMTP id
k6-20020aa788c6000000b0068a61fb801cmr2457417pff.4.1693687737426; Sat, 02 Sep
2023 13:48:57 -0700 (PDT)
Path: i2pn2.org!i2pn.org!usenet.blueworldhosting.com!diablo1.usenet.blueworldhosting.com!peer02.iad!feed-me.highwinds-media.com!news.highwinds-media.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Sat, 2 Sep 2023 13:48:56 -0700 (PDT)
In-Reply-To: <49d75976-4cce-4268-a1e7-ca61a5f688cen@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=2600:1700:291:29f0:38f7:9e82:e778:8639;
posting-account=H_G_JQkAAADS6onOMb-dqvUozKse7mcM
NNTP-Posting-Host: 2600:1700:291:29f0:38f7:9e82:e778:8639
References: <57988626-38ca-4375-878d-914dc517811fn@googlegroups.com>
<e49b9a80-5a62-4a03-ab2d-a25f6dd7c628n@googlegroups.com> <a0828f74-6919-4875-8539-f5efcd4dff41n@googlegroups.com>
<a649c453-48c2-474c-a23c-6b25ad033002n@googlegroups.com> <54f52887-cfcf-4fa3-b5af-0b3a7389f43an@googlegroups.com>
<32fbf2bd-ce7c-4850-a8cd-0e7640258c1en@googlegroups.com> <2c2a2cd6-7cae-451f-ab90-9fd7eadf37bdn@googlegroups.com>
<38292b05-b4e0-48a3-9a7c-130976cbbf3en@googlegroups.com> <ucqc8f$3b3p4$2@dont-email.me>
<CT2IM.854599$TPw2.211935@fx17.iad> <49d75976-4cce-4268-a1e7-ca61a5f688cen@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <3f7f9b5e-f2ec-46f7-8f38-bf219d16b3d5n@googlegroups.com>
Subject: Re: Alternative Microarchutectures - CGRAs
From: MitchAlsup@aol.com (MitchAlsup)
Injection-Date: Sat, 02 Sep 2023 20:48:57 +0000
Content-Type: text/plain; charset="UTF-8"
X-Received-Bytes: 1944
 by: MitchAlsup - Sat, 2 Sep 2023 20:48 UTC

> S.Takano
<
The thing I never got about CGRAs is how do you size the design effort ??
<
What happens when the size you choose ends up being 1 too few in the function unit department ?
Or one too few in the memory BW department ?
??

Re: Alternative Microarchutectures - CGRAs

<e1226ba8-aa1c-41ac-9abc-0a8cc145275fn@googlegroups.com>

  copy mid

https://news.novabbs.org/devel/article-flat.php?id=33895&group=comp.arch#33895

  copy link   Newsgroups: comp.arch
X-Received: by 2002:ac8:4e53:0:b0:403:c200:cd07 with SMTP id e19-20020ac84e53000000b00403c200cd07mr184731qtw.4.1693701081136;
Sat, 02 Sep 2023 17:31:21 -0700 (PDT)
X-Received: by 2002:a05:6a00:1403:b0:68a:33fc:a094 with SMTP id
l3-20020a056a00140300b0068a33fca094mr2678625pfu.3.1693701080820; Sat, 02 Sep
2023 17:31:20 -0700 (PDT)
Path: i2pn2.org!i2pn.org!usenet.blueworldhosting.com!diablo1.usenet.blueworldhosting.com!peer01.iad!feed-me.highwinds-media.com!news.highwinds-media.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Sat, 2 Sep 2023 17:31:20 -0700 (PDT)
In-Reply-To: <3f7f9b5e-f2ec-46f7-8f38-bf219d16b3d5n@googlegroups.com>
Injection-Info: google-groups.googlegroups.com; posting-host=240d:1a:9b5:8e00:d106:816c:3122:d6d6;
posting-account=Op2IWwoAAABwzgDNGL1IwX0KKpjIpZjd
NNTP-Posting-Host: 240d:1a:9b5:8e00:d106:816c:3122:d6d6
References: <57988626-38ca-4375-878d-914dc517811fn@googlegroups.com>
<e49b9a80-5a62-4a03-ab2d-a25f6dd7c628n@googlegroups.com> <a0828f74-6919-4875-8539-f5efcd4dff41n@googlegroups.com>
<a649c453-48c2-474c-a23c-6b25ad033002n@googlegroups.com> <54f52887-cfcf-4fa3-b5af-0b3a7389f43an@googlegroups.com>
<32fbf2bd-ce7c-4850-a8cd-0e7640258c1en@googlegroups.com> <2c2a2cd6-7cae-451f-ab90-9fd7eadf37bdn@googlegroups.com>
<38292b05-b4e0-48a3-9a7c-130976cbbf3en@googlegroups.com> <ucqc8f$3b3p4$2@dont-email.me>
<CT2IM.854599$TPw2.211935@fx17.iad> <49d75976-4cce-4268-a1e7-ca61a5f688cen@googlegroups.com>
<3f7f9b5e-f2ec-46f7-8f38-bf219d16b3d5n@googlegroups.com>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <e1226ba8-aa1c-41ac-9abc-0a8cc145275fn@googlegroups.com>
Subject: Re: Alternative Microarchutectures - CGRAs
From: adaptiveprocessor@gmail.com (S.Takano)
Injection-Date: Sun, 03 Sep 2023 00:31:21 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
X-Received-Bytes: 4039
 by: S.Takano - Sun, 3 Sep 2023 00:31 UTC

On Sunday, September 3, 2023 at 5:48:59 AM UTC+9, MitchAlsup wrote:
> The thing I never got about CGRAs is how do you size the design effort ??

I do not got “size” you noted, but if the size mean time and cost for the design;
I think recent trend in computer architecture is “architecture can be complex, logic circuit must be simple”, but my philosophy is “architecture must be simple, logic circuit should be simple”. My skill for design is poor, so I keep simplicity. My CGRA architecture core takes only 3 modules (processing element, memory element, and interconect element, this concept reduce design&verification time and cost I think.

> What happens when the size you choose ends up being 1 too few in the function unit department ?

If the “size” means how much functional units are in the ALU/FPU, typical CGRA has processing element having only a multiply-add unit and tiny register file targetting for digital signal processing. Recent deep learning needs huge multipy-add for matrix-vector/matrix-matrix multiplication, so they trade the functions for the targetting applications.

Because my concept is to keep simplification, ALU/FPU should keep 4 arithmetics, logics, shifts, same as CPUs have. And then assembling needing complex function by gathering processing elements and memory elements, just like a schemic diagram of a digital logic circuit is binded on CGRA (array consisting of the elements). I think this is similar to RISC processors.

> Or one too few in the memory BW department ?
Yes, this is very good point. Case of FPGA, there is hundreds of on-chip memories in general, so configured logic circuit can read from and write in them in parallel (so benefits data-level parallelism).
Some CGRAs also take this approach, processing after loading source data onto many on-chip memories from external memory, and write intermediate results into the on-chip memories, loading for reference from the on-chip memories, and storing…, just repeat this loop, so this approach reduces accesses to external memory.

Best,
S.Takano

Re: Alternative Microarchutectures - CGRAs

<ud52r0$1ia9j$1@dont-email.me>

  copy mid

https://news.novabbs.org/devel/article-flat.php?id=33896&group=comp.arch#33896

  copy link   Newsgroups: comp.arch
Path: i2pn2.org!i2pn.org!eternal-september.org!news.eternal-september.org!.POSTED!not-for-mail
From: paaronclayton@gmail.com (Paul A. Clayton)
Newsgroups: comp.arch
Subject: Re: Alternative Microarchutectures - CGRAs
Date: Mon, 4 Sep 2023 13:06:38 -0400
Organization: A noiseless patient Spider
Lines: 24
Message-ID: <ud52r0$1ia9j$1@dont-email.me>
References: <57988626-38ca-4375-878d-914dc517811fn@googlegroups.com>
<e49b9a80-5a62-4a03-ab2d-a25f6dd7c628n@googlegroups.com>
<a0828f74-6919-4875-8539-f5efcd4dff41n@googlegroups.com>
<a649c453-48c2-474c-a23c-6b25ad033002n@googlegroups.com>
<54f52887-cfcf-4fa3-b5af-0b3a7389f43an@googlegroups.com>
MIME-Version: 1.0
Content-Type: text/plain; charset=UTF-8; format=flowed
Content-Transfer-Encoding: 8bit
Injection-Date: Mon, 4 Sep 2023 17:06:40 -0000 (UTC)
Injection-Info: dont-email.me; posting-host="6870992a34b5cbb137b4950c406a6223";
logging-data="1648947"; mail-complaints-to="abuse@eternal-september.org"; posting-account="U2FsdGVkX18cDXaAJzoH3xlOaYg7TuK44kczkx3mZeQ="
User-Agent: Mozilla/5.0 (X11; Linux x86_64; rv:91.0) Gecko/20100101
Thunderbird/91.0
Cancel-Lock: sha1:jtxWGclqkmcVIrWj8o0GUdDDqVo=
In-Reply-To: <54f52887-cfcf-4fa3-b5af-0b3a7389f43an@googlegroups.com>
 by: Paul A. Clayton - Mon, 4 Sep 2023 17:06 UTC

On 8/30/23 1:42 PM, JimBrakefield wrote:
[snip]
> Another person in this arena is Jan Gray, https://fpga.org/ and more recently: https://github.com/grayresearch
> who does hand layout of his FPGA cores for maximum speed/minimal LUT count.

Manual layout is possible? From the little I have read, I thought
the FPGA configuration bitstreams used a non-disclosed format and
the FPGA vendor tools did not provide such specific control. My
"knowledge" about FPGAs is very limited.

I would not be surprised if redundancy provided for manufacturing
yield could effect timing, though non-fatal process variation
might well be more significant. (As others have noted, a defect is
a variation that exceeded acceptable bounds.) With process
variation, it seems reasonably conceivable that the best mapping
for one FPGA (or region within an FPGA) might not be the best for
another (though few might care about small variations, especially
when testing different possibilities would be relatively
expensive).

Impenetrable abstractions can provide some advantages (e.g., not
being constrained by important users who exploit implementation-
specific behaviors), though I would rather be able to trust users
to accept guarantee limitations.

Re: Alternative Microarchutectures - CGRAs

<236f9b96-b77c-4632-86de-b323a55c5566n@googlegroups.com>

  copy mid

https://news.novabbs.org/devel/article-flat.php?id=33897&group=comp.arch#33897

  copy link   Newsgroups: comp.arch
X-Received: by 2002:a05:6214:812:b0:653:5863:c835 with SMTP id df18-20020a056214081200b006535863c835mr211410qvb.0.1693850624557;
Mon, 04 Sep 2023 11:03:44 -0700 (PDT)
X-Received: by 2002:a17:902:ce91:b0:1bb:ad19:6b77 with SMTP id
f17-20020a170902ce9100b001bbad196b77mr3799181plg.2.1693850623926; Mon, 04 Sep
2023 11:03:43 -0700 (PDT)
Path: i2pn2.org!i2pn.org!usenet.blueworldhosting.com!diablo1.usenet.blueworldhosting.com!peer01.iad!feed-me.highwinds-media.com!news.highwinds-media.com!news-out.google.com!nntp.google.com!postnews.google.com!google-groups.googlegroups.com!not-for-mail
Newsgroups: comp.arch
Date: Mon, 4 Sep 2023 11:03:43 -0700 (PDT)
In-Reply-To: <ud52r0$1ia9j$1@dont-email.me>
Injection-Info: google-groups.googlegroups.com; posting-host=136.50.14.162; posting-account=AoizIQoAAADa7kQDpB0DAj2jwddxXUgl
NNTP-Posting-Host: 136.50.14.162
References: <57988626-38ca-4375-878d-914dc517811fn@googlegroups.com>
<e49b9a80-5a62-4a03-ab2d-a25f6dd7c628n@googlegroups.com> <a0828f74-6919-4875-8539-f5efcd4dff41n@googlegroups.com>
<a649c453-48c2-474c-a23c-6b25ad033002n@googlegroups.com> <54f52887-cfcf-4fa3-b5af-0b3a7389f43an@googlegroups.com>
<ud52r0$1ia9j$1@dont-email.me>
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <236f9b96-b77c-4632-86de-b323a55c5566n@googlegroups.com>
Subject: Re: Alternative Microarchutectures - CGRAs
From: jim.brakefield@ieee.org (JimBrakefield)
Injection-Date: Mon, 04 Sep 2023 18:03:44 +0000
Content-Type: text/plain; charset="UTF-8"
Content-Transfer-Encoding: quoted-printable
X-Received-Bytes: 3333
 by: JimBrakefield - Mon, 4 Sep 2023 18:03 UTC

On Monday, September 4, 2023 at 12:06:44 PM UTC-5, Paul A. Clayton wrote:
> On 8/30/23 1:42 PM, JimBrakefield wrote:
> [snip]
> > Another person in this arena is Jan Gray, https://fpga.org/ and more recently: https://github.com/grayresearch
> > who does hand layout of his FPGA cores for maximum speed/minimal LUT count.
> Manual layout is possible? From the little I have read, I thought
> the FPGA configuration bitstreams used a non-disclosed format and
> the FPGA vendor tools did not provide such specific control. My
> "knowledge" about FPGAs is very limited.
>
> I would not be surprised if redundancy provided for manufacturing
> yield could effect timing, though non-fatal process variation
> might well be more significant. (As others have noted, a defect is
> a variation that exceeded acceptable bounds.) With process
> variation, it seems reasonably conceivable that the best mapping
> for one FPGA (or region within an FPGA) might not be the best for
> another (though few might care about small variations, especially
> when testing different possibilities would be relatively
> expensive).
>
> Impenetrable abstractions can provide some advantages (e.g., not
> being constrained by important users who exploit implementation-
> specific behaviors), though I would rather be able to trust users
> to accept guarantee limitations.

Not fully knowledgeable
|> Manual layout is possible?

http://www.fpgacpu.org/usenet/floorplanning.html (1998)
http://www.fpgacpu.org/usenet/generators.html (2000)
Designing a Simple FPGA-Optimized RISC CPU and System-on-a-Chip (2002) (best exhibit of his approach)
Mapping CMPs to Xilinx FPGAs (2005)

These articles are about 20 years ago.
And the tools have improved since.
And am not knowledgeable on the Vivado floor planning tool.

Re: Alternative Microarchutectures - CGRAs

<ud5bkd$1jpj2$1@dont-email.me>

  copy mid

https://news.novabbs.org/devel/article-flat.php?id=33898&group=comp.arch#33898

  copy link   Newsgroups: comp.arch
Path: i2pn2.org!i2pn.org!eternal-september.org!news.eternal-september.org!.POSTED!not-for-mail
From: paaronclayton@gmail.com (Paul A. Clayton)
Newsgroups: comp.arch
Subject: Re: Alternative Microarchutectures - CGRAs
Date: Mon, 4 Sep 2023 15:36:43 -0400
Organization: A noiseless patient Spider
Lines: 32
Message-ID: <ud5bkd$1jpj2$1@dont-email.me>
References: <57988626-38ca-4375-878d-914dc517811fn@googlegroups.com>
<e49b9a80-5a62-4a03-ab2d-a25f6dd7c628n@googlegroups.com>
<a0828f74-6919-4875-8539-f5efcd4dff41n@googlegroups.com>
<a649c453-48c2-474c-a23c-6b25ad033002n@googlegroups.com>
<54f52887-cfcf-4fa3-b5af-0b3a7389f43an@googlegroups.com>
<ud52r0$1ia9j$1@dont-email.me>
<236f9b96-b77c-4632-86de-b323a55c5566n@googlegroups.com>
MIME-Version: 1.0
Content-Type: text/plain; charset=UTF-8; format=flowed
Content-Transfer-Encoding: 8bit
Injection-Date: Mon, 4 Sep 2023 19:36:45 -0000 (UTC)
Injection-Info: dont-email.me; posting-host="6870992a34b5cbb137b4950c406a6223";
logging-data="1697378"; mail-complaints-to="abuse@eternal-september.org"; posting-account="U2FsdGVkX1/HN9f20krUbw/YMwQxNPtxUTvNAWURlrE="
User-Agent: Mozilla/5.0 (X11; Linux x86_64; rv:91.0) Gecko/20100101
Thunderbird/91.0
Cancel-Lock: sha1:C2BfjPyxdPjSHDUiGtox0F3AHdw=
In-Reply-To: <236f9b96-b77c-4632-86de-b323a55c5566n@googlegroups.com>
 by: Paul A. Clayton - Mon, 4 Sep 2023 19:36 UTC

On 9/4/23 2:03 PM, JimBrakefield wrote:
> On Monday, September 4, 2023 at 12:06:44 PM UTC-5, Paul A. Clayton wrote:
>> On 8/30/23 1:42 PM, JimBrakefield wrote:
>> [snip]
>>> Another person in this arena is Jan Gray, https://fpga.org/ and more recently: https://github.com/grayresearch
>>> who does hand layout of his FPGA cores for maximum speed/minimal LUT count.
>> Manual layout is possible?

[snip]
> Not fully knowledgeable
> |> Manual layout is possible?
>
> http://www.fpgacpu.org/usenet/floorplanning.html (1998)
> http://www.fpgacpu.org/usenet/generators.html (2000)
> Designing a Simple FPGA-Optimized RISC CPU and System-on-a-Chip (2002) (best exhibit of his approach)
> Mapping CMPs to Xilinx FPGAs (2005)
>
> These articles are about 20 years ago.
> And the tools have improved since.
> And am not knowledgeable on the Vivado floor planning tool.

Thanks, that was interesting and somewhat informative.

(I suspect explaining the abilities and limitations abstractly to
one who has no FPGA programming experience would be difficult;
complete unfamiliarity with the tools and techniques introduces a
communication barrier. "Explain it like I am five years old"
coupled with "so I could do a Master's thesis around it next year"
is probably not a reasonable expectation.☺ That people use their
time to explain technical matters — for free — is admirable, yet
people have biological (and more specifically human) needs.
Admiring someone correlates with concern for their well-being.)

Pages:12
server_pubkey.txt

rocksolid light 0.9.8
clearnet tor